DE102018107038B4 - Verfahren zur herstellung einer durchkontaktierungsstruktur - Google Patents

Verfahren zur herstellung einer durchkontaktierungsstruktur Download PDF

Info

Publication number
DE102018107038B4
DE102018107038B4 DE102018107038.1A DE102018107038A DE102018107038B4 DE 102018107038 B4 DE102018107038 B4 DE 102018107038B4 DE 102018107038 A DE102018107038 A DE 102018107038A DE 102018107038 B4 DE102018107038 B4 DE 102018107038B4
Authority
DE
Germany
Prior art keywords
layer
sacrificial
well
sacrificial layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018107038.1A
Other languages
English (en)
Other versions
DE102018107038A1 (de
Inventor
Wei-Chieh Huang
Jieh-Jang Chen
Feng-Jia Shiu
Cern-Yow Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/884,505 external-priority patent/US10439135B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018107038A1 publication Critical patent/DE102018107038A1/de
Application granted granted Critical
Publication of DE102018107038B4 publication Critical patent/DE102018107038B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8418Electrodes adapted for focusing electric field or current, e.g. tip-shaped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

Verfahren, umfassend:Bereitstellen eines Substrats (302), das eine leitfähige Säule (308a), eine dielektrische Schicht (310) über der leitfähigen Säule (308a) und eine Mehrzahl von Opferblöcken (320a) über der dielektrischen Schicht (310) hat, wobei die Mehrzahl von Opferblöcken (320a) in einer Draufsicht die leitfähige Säule (308a) umgibt;Abscheiden einer Opferschicht (330), die die Mehrzahl von Opferblöcken (320a) abdeckt, wobei die Opferschicht (330) eine Senke (340) direkt oberhalb der leitfähigen Säule (308a) hat;Abscheiden einer Hartmaskenschicht (350) über der Opferschicht (330);Entfernen eines Abschnitts der Hartmaskenschicht (350) von einem Grund der Senke (340);Ätzen des Grunds der Senke (340) unter Verwenden der Hartmaskenschicht (350) als einer Ätzmaske, wodurch eine obere Oberfläche der leitfähigen Säule (308a) freigelegt wird, undBilden eines leitfähigen Materials (356) innerhalb der Senke (340), wobei das leitfähige Material (356) in physischer Berührung mit der oberen Oberfläche der leitfähigen Säule (308a) ist.

Description

  • HINTERGRUND
  • Die Industrie der integrierten Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte des IC-Materials und -Designs haben Generationen integrierter Schaltungen erzeugt, die kleinere und komplexere Schaltungen haben als die vorhergehende Generation. Im Laufe der Entwicklung der integrierten Schaltungen ist die funktionale Dichte (das heißt die Anzahl von verschalteten Bauteilen pro Chipfläche) im Allgemeinen gestiegen, während die geometrische Größe (das heißt das kleinste Bauteil (oder die kleinste Leitung), das unter Verwenden eines Herstellungsprozesses geschaffen werden kann) zurückgegangen ist. Dieser Verkleinerungsprozess stellt im Allgemeinen Vorteile durch Erhöhen der Produktionseffizienz und Verringern der damit verbundenen Kosten bereit. Solches Verkleinern hat auch die Komplexheit der Verarbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte verwirklicht werden können, sind ähnliche Entwicklungen in der IC-Verarbeitung und -Herstellung erforderlich.
  • Phasenwechselspeicher funktionieren zum Beispiel unter dem Durchgehen eines elektrischen Stroms durch ein Heizelement, um das Phasenwechselmaterial schnell in amorphe oder kristalline Zustände zu erhitzen und abzukühlen, und es wird im Allgemeinen gewünscht, möglichst kleine Heizelemente zu fertigen. Ein kompaktes Heizelement, wie eine Durchkontaktierung, die bei einigen Ausführungsformen aus Titannitrid (TiN) in physischer Berührung mit dem Phasenwechselmaterial ist, hilft, den Formfaktor des Phasenwechselspeichers aufgrund seiner kleineren Größe zu verringern, und erhöht auch die Geschwindigkeit des Phasenwechselspeichers aufgrund seiner höheren Heizeffizienz. Während die Halbleitertechnologie auf kleinere Geometrien übergeht, die nicht auf Phasenwechselspeicher beschränkt sind, wird jedoch der herkömmliche Fotolackansatz für die Durchkontaktierungsstrukturierung durch Auflösung und Bestandteile der Fotolackkomponente eingeschränkt, die an Problemen mit Fotolack-Abschaum und dürftiger kritischer Maßgleichmäßigkeit (Critical Dimension Uniformity - CDU) leiden können. Obwohl existierende Ansätze bei der Durchkontaktierungsbildung im Allgemeinen für ihre Zweckbestimmung angemessen waren, waren sie nicht in allen Aspekten völlig zufriedenstellend.
  • Die US 2005 / 0 127 347 A1 offenbart eine Schutzschicht, die auf einem Halbleitersubstrat mit einem Zellenarraybereich und einem Ausrichtungsschlüsselbereich gebildet wird. Auf der Schutzschicht im Zellenfeldbereich sind mehrere Datenspeicherelemente ausgebildet. Auf den Datenspeicherelementen wird eine Isolierschicht gebildet, auf der Isolierschicht wird eine Sperrschicht gebildet, und auf der Sperrschicht wird eine Opferschicht gebildet. Die Opferschicht, die Sperrschicht und die Isolierschicht werden strukturiert, um Kontaktlöcher zu bilden, die die Datenspeicherelemente freilegen, und leitende Stopfen werden in den Kontaktlöchern gebildet. Die Opferschicht wird geätzt, um Teile der leitenden Stopfen zu hinterlassen, die aus der Sperrschicht herausragen. Die vorstehenden Abschnitte der leitfähigen Stecker werden durch Polieren entfernt.
  • Die US 2008 / 0 164 453 A1 offenbart eine Speicherzelle und ein Verfahren zu ihrer Herstellung, das auf einem Substrat abgeschiedenes Isoliermaterial, eine innerhalb des Isoliermaterials gebildete untere Elektrode, eine Mehrzahl von über der unteren Elektrode abgeschiedenen Isolierschichten und mindestens eine davon wirkt als Zwischenisolierschicht. In den Isolierschichten über der Zwischenisolierschicht ist eine Durchkontaktierung definiert. Mit einem Opferspacer wird ein Kanal zum Ätzen erzeugt. In der isolierenden Zwischenschicht ist eine Pore definiert. Alle Isolierschichten über der Zwischenisolierschicht werden entfernt und die gesamte verbleibende Pore wird mit Phasenwechselmaterial gefüllt. Über dem Phasenwechselmaterial wird eine obere Elektrode gebildet.
  • Die US 2010 / 0 078 617 A1 offenbart eine Speicherzellenstruktur und ein Verfahren zum Bilden einer solchen Struktur. Das Verfahren umfasst teilweise das Bilden einer Durchkontaktierung innerhalb einer Oxidationsschicht über der Mitte einer unteren Elektrode. Das Verfahren umfasst das Abscheiden eines Durchgangsabstandshalters entlang der Seitenwände des Durchgangs und das Oxidieren des Durchgangsabstandshalters. Der Durchgangsabstandshalter besteht aus einem Material mit einem Pilling-Bedworth-Verhältnis von mindestens eineinhalb und ist im oxidierten Zustand ein Isolator. Die Via-Fläche wird durch Expansion des Via-Spacers während der Oxidation reduziert. Alternativ umfasst das Verfahren teilweise das Bilden einer Durchkontaktierung innerhalb einer ersten Schicht über der Mitte der unteren Elektrode. Die erste Schicht weist ein Pilling-Bedworth-Verhältnis von mindestens eineinhalb auf und ist im oxidierten Zustand ein Isolator. Das Verfahren umfasst auch das Oxidieren mindestens eines Teils der Seitenwände des Durchgangslochs in der ersten Schicht.
  • Die US 2009 / 0 072 216 A1 offenbart ein Array von Phasenwechselspeicherzellen, das durch Bilden einer Trennschicht über einem Array von Kontakten, Bilden einer Strukturierungsschicht auf der Trennschicht und Bilden eines Arrays von Maskenöffnungen in der Strukturierungsschicht unter Verwendung eines lithographischen Prozesses, hergestellt wird. Ätzmasken werden innerhalb der Maskenöffnungen durch einen Prozess gebildet, der Schwankungen in der Größe der Maskenöffnungen kompensiert, die sich aus dem lithografischen Prozess ergeben. Die Ätzmasken werden verwendet, um durch die Trennschicht zu ätzen, um eine Anordnung von Elektrodenöffnungen zu definieren, die die darunter liegenden Kontakte freilegen. Elektrodenmaterial wird innerhalb der Elektrodenöffnungen abgeschieden; und Speicherelemente werden über den unteren Elektroden gebildet. Schließlich werden Bitleitungen über den Speicherelementen gebildet, um die Speicherzellen zu vervollständigen. In dem resultierenden Speicherarray variiert die kritische Abmessung der oberen Oberfläche der unteren Elektrode weniger als die Breite der Speicherelemente in den Maskenöffnungen.
  • Die Erfindung sieht ein Verfahren gemäß Anspruch 1 und ein Verfahren gemäß Anspruch 13 vor. Ausgestaltungen der Erfindung sind in den abhängigen Ansprüchen definiert.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Merkmale nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Merkmale können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.
    • 1 ist eine Querschnittansicht eines Halbleiterbauteils mit Phasenwechsel-Direktzugriffsspeicher (Phase-Change Random Access Memory- PCRAM)-Zellen, die der Erläuterung des erfindungsgemäßen Verfahrens dienen.
    • Die 2A und 2B zeigen ein Ablaufdiagramm eines Verfahrens zum Bilden eines Halbleiterbauteils mit PCRAM-Zellen gemäß diversen Aspekten der vorliegenden Offenbarung.
    • Die 3, 4, 5A, 5B, 6, 7, 8, 9, 10, 11, 12, 13, 14 und 15 sind Querschnittansichten eines Halbleiterbauteils mit PCRAM-Zellen, die in Übereinstimmung mit einigen Beispielen des Verfahrens in 2A und 2B aufgebaut sind.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Spezifische Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in den diversen Beispielen wiederholen. Diese Wiederholung soll der Einfachheit und der Klarheit dienen und schreibt selbst keine Beziehung zwischen den diversen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Bezugsbegriffe, wie „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den Figuren veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen des Bauteils beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den Figuren abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt. Wenn darüber hinaus eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, soll der Begriff, falls nichts anderes spezifiziert ist, Zahlen einschließen, die innerhalb von ± 10 % der beschriebenen Zahl liegen. Der Begriff „etwa 5 nm“ schließt den Maßbereich von 4,5 nm bis 5,5 nm ein.
  • Die vorliegende Offenbarung betrifft im Allgemeinen Durchkontaktierungsstrukturen in Halbleiterbauteilen und Verfahren zu ihrem Ausbilden. Insbesondere betrifft die vorliegende Offenbarung das Bereitstellen von Verfahren und Strukturen von Bodendurchkontaktierungen als ein Heizelement in einer Phasenwechselspeicherzelle. Phasenwechselspeicher ist auch als Phasenwechsel-Direktzugriffsspeicher (PCRAM) bekannt, der ein Typ nichtflüchtigen Speichers ist, in dem bei einigen Beispielen Phasenwechselmaterial, wie chalkogenide Halbleiter, zum Speichern von Zuständen verwendet wird. Der Zustand einer Funktionsfläche in dem Phasenwechselmaterial wird zum Beispiel zwischen kristallin und amorph durch einen Stromfluss durch ein Heizelement, das Hitze erzeugt, umgeschaltet. In dem kristallinen Zustand hat das Phasenwechselmaterial einen niedrigen spezifischen Widerstand, während es in dem amorphen Zustand einen hohen spezifischen Widerstand hat. Das Phasenwechselmaterial ist bei bestimmten Temperaturbereichen sowohl im kristallinen als auch im amorphen Zustand beständig und kann zwischen den zwei Zuständen durch Hitzeanregungen umgeschaltet werden. Die Verhältnisse des spezifischen Widerstands des Phasenwechselmaterials in dem amorphen und in dem kristallinen Zustand sind typischerweise größer als 1000, und der Zustand der Funktionsfläche wird dann verwendet, um die gespeicherten Daten darzustellen. Falls die Funktionsfläche nach einer Hitzeanregung zum Beispiel in dem kristallinen Zustand ist, sind die gespeicherten Daten an einem niedrigen Logikpegel (zum Beispiel einem „Low“). Falls die Funktionsfläche aber in dem amorphen Zustand ist, sind die gespeicherten Daten an einem hohen Logikpegel (zum Beispiel ein „High“). PCRAM hat mehrere Betriebs- und Engineeringvorteile, darunter hohe Geschwindigkeit, niedrige Leistung, Nichtflüchtigkeit, hohe Dichte und niedrige Kosten. PCRAM-Bauteile sind zum Beispiel nichtflüchtig und können schnell geschrieben werden, zum Beispiel innerhalb von etwa 50 Nanosekunden. Die PCRAM-Zellen können eine hohe Dichte haben und sind mit CMOS-Logik kompatibel, und können im Allgemeinen mit niedrigeren Kosten als andere Typen von Speicherzellen erzeugt werden.
  • 1 veranschaulicht eine Querschnittansicht eines Halbleiterbauteils 100 mit PCRAM-Zellen in Übereinstimmung mit einem Beispiel, das der Erläuterung der Erfindung dient. Das Halbleiterbauteil 100 weist ein Substrat 102 auf (in 1 teilweise gezeigt). Das Substrat 102 kann ein Halbleitersubstrat sein, das aus Halbleitermaterialien, wie Silizium, Silizium-Germanium, Galliumarsenid und dergleichen gebildet ist und kann ein Bulk-Substrat oder ein Halbleiter-auf-Halbleiter-Substrat sein. Das Halbleiterbauteil 100 weist einen PCRAM-Bereich 104a auf, in dem eine oder mehr PCRAM-Zellen 106 zu bilden sind, und einen umfänglichen Bereich 104b, der ein Logikschaltungsbereich sein kann, ohne darauf beschränkt zu sein, der die Steuerschaltung der PCRAM-Zellen aufweist.
  • Das Substrat 102 weist eine oder mehr leitfähige Säulen 108a und 108b auf. Die leitfähigen Säulen 108a und 108b können aus Wolfram (W), Aluminium (AI), Kupfer (Cu), AlCu und/oder aus anderen geeigneten leitfähigen Materialien gebildet sein. Das Bilden der leitfähigen Säulen 108a und 108b kann einen Single-Damascene-Prozess oder einen Dual-Damascene-Prozess aufweisen. Bei noch einem anderen Beispiel bestehen die leitfähigen Säulen 108a und 108b aus Polysilizium und/oder anderen geeigneten Materialien. Bei einigen Beispielen sind die leitfähigen Säulen 108a und 108b Kontaktstecker, die in einer dielektrischen Grenzflächen (Interlayer Dielectric - ILD)-Schicht zum Zugang zu Source-Drain-Bereichen und/oder Gate-Elektroden von Transistoren (nicht gezeigt), die in unteren Schichten des Substrats 102 gebildet sind. In dem PCRAM-Bereich 104a, werden die leitfähigen Säulen 108a auch Bodenelektroden 108a der PCRAM-Zellen 106 genannt.
  • In dem PCRAM-Bereich 104a sind Durchkontaktierungen 116 elektrisch mit den Bodenelektroden 108a verbunden und sind von einer ersten dielektrischen Schicht 110 umgeben. Bei einigen Beispielen ist die erste dielektrische Schicht 110 aus Siliziumkarbid (SiC), Siliziumnitrid (Si3N4) und/oder anderen geeigneten Materialien gebildet. Bei einigen Beispielen sind die Durchkontaktierungen 116 aus Titannitrid (TiN), Wolfram (W), Tantalnitrid (TaN) und/oder anderen geeigneten Materialien gebildet. Die Durchkontaktierungen 116 werden auch Bodendurchkontaktierungen 116 der PCRAM-Zellen 106 genannt, da sie unter den Phasenwechselstreifen 124 gestapelt sind. Die Durchkontaktierungen 116 können auch Heizelemente 116 der PCRAM-Zellen 106 genannt werden, da Hitze, die von den Durchkontaktierungen 116 erzeugt wird, wenn Strom durch sie fließt, bewirkt, dass sich der Zustand der Phasenwechselstreifen 124 ändert. Die Phasenwechselstreifen 124 sind elektrisch mit den Bodendurchkontaktierungen 116 verbunden. Die Phasenwechselstreifen 124 weisen Phasenwechselmaterialien, wie chalkogenide Materialien und/oder stöchiometrische Materialien, auf. Bei einigen Beispielen weisen die Phasenwechselstreifen 124, ohne darauf beschränkt zu sein, Germanium (Ge), Tellur (Te) und Asbest (Sb) auf. Bei einem spezifischen Beispiel, weisen die Phasenwechselstreifen 124 GeSbTe-Legierung, AgInSbTe-Legierung oder Hafniumoxidverbindung auf.
  • In dem PCRAM-Bereich 104a, sind die oberen Elektroden 128 über den Phasenwechselstreifen 124 gestapelt und mit ihnen elektrisch gekoppelt. Bei einigen Beispielen sind die oberen Elektroden 128 aus TiN, TaN und/oder anderen geeigneten Materialien gebildet. Die Phasenwechselstreifen 124 und die oberen Elektroden 128 können von einer zweiten dielektrischen Schicht 120 umgeben sein. Die zweite dielektrische Schicht 120 kann eine ILD-Schicht oder eine intermetallische dielektrische (Inter-Metal Dielectric - IMD)-Schicht sein. Bei einigen Beispielen können die dielektrischen Schichten 110 und 120 unterschiedliche Materialzusammensetzungen aufweisen. Bei einigen Beispielen, weisen die dielektrischen Schichten 110 und 120 dasselbe Material (zum Beispiel Si3N4) auf, so dass keine Grenze zwischen den dielektrischen Schichten 110 und 120 in Bereichen besteht, die miteinander in Berührung sind.
  • Bei einigen Beispielen weisen die PCRAM-Zellen 106 ferner Durchkontaktierungen 132a und Metallleitungen 136a auf, die von der zweiten dielektrische Schicht 120 umgeben sind, die die oberen Elektroden 128 elektrisch mit den oberen Metallschichten (nicht gezeigt) und/oder metallischen Zusammenschaltungen verbinden. Die Durchkontaktierungen 132a und Metallleitungen 136a können aus Al, Cu, AlCu, W und/oder anderen geeigneten leitfähigen Materialien bestehen. Das Bilden der Durchkontaktierungen 132a und Metallleitungen 136a kann Dual-Damascene-Prozess aufweisen. Ähnlich verbinden in peripheren Bereichen 104b Durchkontaktierungen 132b und Metallleitungen 136b elektrisch mit der leitfähigen Säule 108b durch die erste dielektrische Schicht 110.
  • Wenn innerhalb einer PCRAM-Zelle 106 Strom durch eine Bodendurchkontaktierung 116 und einen Phasenwechselstreifen 124 fließt, kann ausreichend Hitze in der Bodendurchkontaktierung 116 aufgrund ihres hohen spezifischen Widerstands erzeugt werden, was den Phasenwechselstreifen 124 veranlasst, den Zustand zu wechseln. Die Hitzeeffizienz der Bodendurchkontaktierung 116 ist einer der Hauptfaktoren, der die Schreibgeschwindigkeit einer PCRAM-Zelle beeinflusst. Eine Bodendurchkontaktierungsstruktur mit niedrigem Breiten-zu-Höhen-Verhältnis kann höheren spezifischen Widerstand darlegen als eine mit hohem Breiten-zu-Höhen-Verhältnis. Bei einigen Beispielen hat die Bodendurchkontaktierung 116 ein Breiten-zu-Höhen-Verhältnis (W/H, wie in 1 gekennzeichnet) kleiner als 1,0. Zur Förderung einiger Beispiele, hat die Bodendurchkontaktierung 116 ein Breiten-zu-Höhen-Verhältnis von etwa 0,2 bis etwa 1,0. Bei einem spezifischen Beispiel hat die Bodendurchkontaktierung 116 ein Breiten-zu-Höhen-Verhältnis von etwa 0,4. Bei noch einem anderen Beispiel, hat die Bodendurchkontaktierung 116 ein Breiten-zu-Höhen-Verhältnis von etwa 0,1 bis etwa 0,2. Die Höhe der Bodendurchkontaktierung 116 kann innerhalb eines Bereichs von etwa 20 nm bis etwa 100 nm, wie etwa 50 nm liegen.
  • Die 2A und 2B veranschaulichen ein Ablaufdiagramm eines Verfahrens 200 zum Bilden von Halbleiterbauteilen gemäß der vorliegenden Offenbarung. Das Verfahren 200 ist ein Beispiel und soll die vorliegende Offenbarung nicht über das, was in den Ansprüchen explizit dargelegt ist, hinaus begrenzen. Zusätzliche Vorgänge können vor, während und nach dem Verfahren 200 vorgesehen sein, und einige Vorgänge, die beschrieben sind, können für zusätzliche Beispiele des Verfahrens ersetzt, eliminiert oder an eine andere Stelle verlegt werden. Das Verfahren 200 ist unten in Verbindung mit den 3 bis 15 beschrieben, die Querschnittansichten eines Halbleiterbauteils 300 während diversen Fertigungsschritten gemäß einer Ausführungsform des Verfahrens 200 veranschaulichen. Das Halbleiterbauteil 300 kann in mehrfacher Hinsicht im Wesentlichen ähnlich sein wie das Halbleiterbauteil 100 der 1.
  • Das Halbleiterbauteil 300 kann ein Zwischenbauteil sein, das während der Verarbeitung einer integrierten Schaltung (IC) hergestellt wird, oder ein Abschnitt davon, der Static Random Access Memory (SRAM) und/oder Logikschaltungen, passive Bauteile, wie zum Beispiel Widerstände, Kondensatoren und Induktoren, sowie aktive Bauteile, wie zum Beispiel p-Typ-FETs (PFETs), n-Typ-FETs (NFETs), FinFETs, Metalloxid-Halbleiterfeldeffekttransistoren (MOSFET) und komplementäre Metalloxid-Halbleiter (CMOS)-Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon umfassen kann. Ferner werden die diversen Merkmale, wie Transistoren, Gate-Stapel, aktive Bereiche, Bauteilbereiche und andere Merkmale bei diversen Beispielen zur Vereinfachung und Leichtigkeit des Verstehens bereitgestellt und schränken die Ausführungsformen irgendwelcher Typen von Bauteilen, irgendeiner Anzahl von Bauteilen, irgendeiner Anzahl von Bereichen oder irgendeiner Konfiguration von Strukturen oder Bereichen nicht unbedingt ein.
  • Bei Vorgang 202 stellt das Verfahren 200 (2A) einen Vorläufer des Halbleiterbauteils 300 (3) bereit. Aus praktischen Gründen der Darstellung wird der Vorläufer des Halbleiterbauteils 300 auch das Bauteil 300 genannt. Das Bauteil 300 kann ein Substrat 302 und diverse Merkmale, die darin oder darauf gebildet sind, aufweisen. Das Substrat 302 ist bei dem gezeigten Beispiel ein Siliziumsubstrat. Alternativ kann das Substrat 302 andere elementare Halbleiter, wie Germanium, einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist, einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP aufweist, oder Kombinationen davon umfassen. Bei noch einer anderen Alternative ist das Substrat 302 ein Halbleiter auf Isolator (Semiconductor-On-Insulator - SOI).
  • Das Substrat 302 hat einen ersten Bereich 304a und einen zweiten Bereich 304b. Der erste Bereich 304a kann ein PCRAM-Bereich 304a genannt werden, in dem PCRAM-Zellen zu bilden sind, und der zweite Bereich 304b kann ein umfänglicher Bereich 304b genannt werden, in dem eine Steuerschaltung der PCRAM-Zellen oder andere Logikschaltungen zu bilden sind. Das Substrat 302 weist auch eine oder mehr Elektroden (oder Bodenelektroden) 3o8a und 308b jeweils in Bereichen 304a und 304b auf. In der weiteren Folge der Beschreibung werden die Elektroden 308a und 308b auch leitfähige Säulen 308a und 308b genannt. Bei einigen Beispielen sind die leitfähigen Säulen 308a und 308b Kontaktstecker zum Zugang zu Source-Drain-Bereichen und/oder Gate-Elektroden von Transistoren (nicht gezeigt), die in unteren Schichten des Substrats 302 gebildet sind. Das Substrat 302 kann ferner eine ILD-Schicht aufweisen, die die leitfähigen Säulen 308a und 308b umgibt. Der Bildungsprozess der leitfähigen Säulen 308a und 308b kann einen Single- oder Dual-Damascene-Prozess aufweisen, in dessen Verlauf die ILD-Schicht gebildet wird, gefolgt vom Bilden von Öffnungen und Einfüllen metallischer Materialien in die Öffnungen. Ein chemisch-mechanischer Polier (Chemical Mechanical Polishing - CMP)-Prozess wird dann ausgeführt, um überschüssige metallische Materialien zu entfernen, so dass die leitfähigen Säulen 308a und 308b verbleiben. Die leitfähigen Säulen 308a und 308b können aus Al, Cu, AlCu, W oder anderen metallischen Materialien gebildet werden. Bei noch einem anderen Beispiel, werden die leitfähigen Säulen 108a und 108b aus Polysilizium gebildet. Aus Prozessgründen kann jede der leitfähigen Säulen 308a und 308b ein verjüngtes Profil haben, mit oberen Abschnitten, die breiter sind als die jeweiligen unteren Abschnitte.
  • Unter weiterer Bezugnahme auf 3, bildet das Verfahren 200 (2A) bei Vorgang 204 eine dielektrische Schicht 310 über dem Substrat 302. Bei darauffolgenden Vorgängen dient die dielektrische Schicht 310 als eine CMP-Stoppschicht für andere Materialschichten, die darauf gebildet werden. Daher kann die dielektrische Schicht 310 auch eine CMP-Stoppschicht 310 genannt werden. Die dielektrische Schicht 310 kann ein dielektrisches Material wie SiC, Si3N4, Siliziumoxinitrid (SiON) und/oder Siliziumoxid aufweisen. Bei dem gezeigten Beispiel weist die dielektrische Schicht 310 SiC auf. Die dielektrische Schicht 310 kann zu einer beliebigen zweckdienlichen Stärke und durch Verwenden eines beliebigen zweckdienlichen Prozesses gebildet werden, darunter chemische Gasphasenabscheidung (Chemical Vapor Deposition - CVD), Niederdruck-Plasma-CVD (Low Pressure CVD - LPCVD), hochdichte Plasma-CVD (High-Density Plasma CVD - HDP-CVD), physikalische Gasphasenabscheidung (PVD), Atomschichtabscheidung (Atomic Layer Deposition - ALD) und/oder andere zweckdienliche Abscheidungsprozesse. Bei dem gezeigten Beispiel hat die dielektrische Schicht 310 eine Stärke von etwa 20 nm bis etwa 100 nm, wie etwa 50 nm.
  • Bei Vorgang 206, bildet das Verfahren 200 (2A) eine erste Opferschicht 320 über der dielektrischen Schicht 310 (4). Die erste Opferschicht 320 kann ein dielektrisches Material wie Si3N4, Tetraethylorthosilikat (TEOS)-Oxid, Siliziumoxid, SiON, Siliziumcarbonnitrid (SiCN), Siliziumcarboxinitrid (SiCON), andere dielektrische Materialien oder eine Kombination dieser aufweisen. Die Zusammensetzung der erstens Opferschicht 320 wird derart ausgewählt, dass die erste Opferschicht 320 etwas Ätzselektivität in Bezug auf die dielektrische Schicht 310 hat. Bei einigen Beispielen weist die erste Opferschicht 320 Siliziumnitrid auf. Die erste Opferschicht 320 kann zu jeder beliebigen Stärke unter Verwenden eines beliebigen zweckdienlichen Prozesses gebildet werden, darunter CVD, LPCVD, HDP-CVD, PVD, ALD und/oder andere zweckdienliche Abscheidungsprozesse. Bei dem gezeigten Beispiel hat die Opferschicht 320 eine Stärke von etwa 20 nm bis etwa 80 nm, wie etwa 50 nm.
  • Bei Vorgang 208 strukturiert das Verfahren 200 (2A) die erste Opferschicht 320, um mehrere Opferblöcke 320a zu bilden, die die leitfähigen Säulen 308a in einer Draufsicht des PCRAM-Bereichs 304a (5A und 5B) umgeben. 5A ist eine Querschnittansicht des Bauteils 300 entlang der Linie A-A der 5B, die eine Draufsicht des PCRAM-Bereichs 304a des Bauteils 300 veranschaulicht. Zum Strukturieren der ersten Opferschicht 320 kann Vorgang 208 eine Vielfalt von Prozessen, wie Fotolithografie und Ätzen, aufweisen. Der Fotolithografieprozess kann das Bilden eines Fotolacks (nicht gezeigt) über der ersten Opferschicht 320 aufweisen. Ein beispielhafter Fotolack weist ein lichtempfindliches Material auf, das auf Strahlung wie UV-Licht, Tief-Ultraviolett (Deep Ultraviolet - DUV)-Strahlung und/oder EUV-Strahlung reagiert. Einige lithografische Belichtung wird auf dem Bauteil 300, die ausgewählte Bereiche des Fotolacks mit Strahlung exponiert, ausgeführt. Die Belichtung verursacht das Auftreten einer chemischen Reaktion in den belichteten Bereichen des Fotolacks. Nach der Belichtung wird ein Entwickler auf den Fotolack aufgetragen. Der Entwickler löst entweder die belichteten Bereiche in dem Fall eines positiven Fotolackentwicklungsverfahrens auf, oder die nicht belichteten Bereiche in dem Fall eines negativen Fotolackentwicklungsverfahrens oder entfernt sie auf andere Art. Geeignete positive Entwickler weisen TMAH (Tetramethylammoniumhydroxid), KOH und NaOH auf, und geeignete negative Entwickler weisen Lösemittel wie n-Butylacetat, Ethanol, Hexan, Benzol und Toluol auf. Nach dem Entwickeln des Fotolacks, können die belichteten Abschnitte der ersten Opferschicht 320 durch einen Ätzprozess wie Nassätzen, Trockenätzen, reaktives Ionenätzen (Reactive Ion Etch - RIE), Veraschen und/oder andere zweckdienliche Ätzverfahren entfernt werden. Durch Auswählen eines Ätzmittels, das auf eine Materialzusammensetzung der ersten Opferschicht 320 abzielt, während es Ätzen der dielektrischen Schicht 310 widersteht, bleiben die leitfähigen Säulen 308a und 308b mit der dielektrischen Schicht 310 abgedeckt. Bei dem gezeigten Beispiel wird die erste Opferschicht 320 in dem umfänglichen Bereich 304b entfernt, während ein Abschnitt der ersten Opferschicht 320 in dem PCRAM-Bereich 304a verbleibt, was in einer strukturierten ersten Opferschicht 320 resultiert, die aus einer Mehrzahl von Opferblöcken 320a besteht. Nach dem Ätzen, kann der Fotolack entfernt werden.
  • Unter weiterer Bezugnahme auf die 5A und 5B, sind Opferblöcke 320a unter benachbarten leitfähigen Säulen 308a in einer Strukturierung derart verschachtelt, dass jede leitfähige Säule 308a von mehreren Opferblöcken 320a umgeben (oder eingekreist) ist. Die mehreren Opferblöcke 320a können zu der jeweiligen umgebenen leitfähigen Säule 308a gleichmäßig beabstandet sein. Bei dem gezeigten Beispiel, ist jede leitfähige Säule 308a von vier Opferblöcken 320a umgeben. Bei einem anderen Beispiel ist jede leitfähige Säule 308a von drei Opferblöcken 320a umgeben, wie bei der Veranschaulichung in 5C. Bei diversen Beispielen kann jede leitfähige Säule 308a von einer beliebigen zweckdienlichen Anzahl von Opferblöcken 320a, wie fünf oder mehr als fünf umgeben sein. Als ein Beispiel veranschaulicht 5D eine Ausführung, bei der jede leitfähige Säule 308a von fünf Opferblöcken 320a umgeben ist.
  • Bei dem gezeigten Beispiel hat der Opferblock 320a eine Form eines Zylinders. Bei anderen Beispielen kann der Opferblock 320a in einer Draufsicht diverse Formen haben, wie ein Quadrat oder andere vieleckige Formen. Bei dem gezeigten Beispiel überlappt ein Opferblock 320a bei einer Draufsicht seine jeweilige leitfähige Säule 300a. Bei einigen Beispielen kann die überlappende Fläche weniger als 20 % der oberen Oberfläche der jeweiligen leitfähigen Säule 308a betragen. Zur Förderung einiger Beispiele kann die überlappende Fläche etwa 5 % der oberen Oberfläche der jeweiligen leitfähigen Säule 308a betragen. Bei noch einem anderen Beispiel sind die Seitenwände des leitfähigen Blocks 320a von Kanten der jeweiligen leitfähigen Säule 308a versetzt, so dass der Opferblock 320a in einer Draufsicht die jeweilige leitfähige Säule 308a nicht überlappt.
  • Bei Vorgang 210, bildet das Verfahren 200 (2A) eine zweite Opferschicht 330 über dem Bauteil 300, wobei der PCRAM-Bereich 304a und der umfängliche Bereich 304b abgedeckt werden (6). Bei dem gezeigten Beispiel wird die zweite Opferschicht 330 als eine ganzflächige Schicht über oberen und Seitenwänden der Opferblöcke 320a und über exponierter oberer Oberfläche der dielektrische Schicht 310 abgeschieden. Zweckdienliche dielektrische Materialien für die zweite Opferschicht 330 weisen Si3N4, TEOS-Oxid, Siliziumoxid, SiON, SiCN, SiCON, andere dielektrische Materialien oder Kombinationen dieser auf. Das dielektrische Material kann durch eine beliebige zweckdienliche Technik abgeschieden werden, darunter CVD, LPCVD, HDP-CVD, PVD oder ALD. In mehrfachem Hinblick kann die zweite Opferschicht 330 im Wesentlichen der ersten Opferschicht 320 ähnlich sein, und ein ähnlicher Abscheidungsprozess kann auf dem Bauteil 300 ausgeführt werden, um die zweite Opferschicht 330 abzuscheiden. Bei dem gezeigten Beispiel weist die zweite Opferschicht 330 dieselbe Materialzusammensetzung auf wie die erste Opferschicht 320 (zum Beispiel Si3N4), so dass keine Grenze zwischen der zweiten Opferschicht 330 und den Opferblöcken 320a in Flächen besteht, die miteinander in Berührung sind. Bei noch einem anderen Beispiel weisen die zweite Opferschicht 330 und die erste Opferschicht 320 unterschiedliche Materialzusammensetzungen auf. Als ein Beispiel kann die erste Opferschicht 320 Si3N4 aufweisen, und die zweite Opferschicht 330 kann TEOS aufweisen, oder die erste Opferschicht 320 kann TEOS-Oxid aufweisen und die zweite Opferschicht 330 kann Si3N4 aufweisen.
  • Unter weiterer Bezugnahme auf 6, bildet die zweite Opferschicht 320 dielektrische Höcker 336 an Lagen der Opferblöcke 320a während der Abscheidung des dielektrischen Materials als eine ganzflächige Schicht. Bei einigen Beispielen hat ein dielektrischer Höcker 336 eine gebogene Seitenwand. Die benachbarten dielektrischen Höcker 336, die von den Opferblöcken 320a, die eine jeweilige leitfähige Säule 308a umgeben, definiert sind, verbinden miteinander an dem Boden und bilden eine Senke 340 zwischen ihren jeweiligen Seitenwänden. Die Senke 340 hat ein verjüngtes Profil, mit dem schmalsten Abschnitt am Boden und der weitesten Öffnung an der Oberseite. Bei einigen Beispielen ist der Grund der Senke 340 niedriger als eine obere Oberfläche der zweiten Opferschicht 330 in dem umfänglichen Bereich 340b. Bei diversen Beispielen, befindet sich die Senke 340 direkt oberhalb der leitfähigen Säule 308a. Bei einem Beispiel, befindet sich die Senke 340 direkt oberhalb der Mitte der leitfähigen Säule 308a. Der Einfachheit halber wird die Stärke des Opferblocks 320a h1 genannt; die Stärke der zweiten Opferschicht 330 an der Oberseite des dielektrischen Höckers 336 wird h2 genannt; die Stärke der zweiten Opferschicht 330 an dem Grund der Senke 340 wird h3 genannt; die Breite der oberen Oberfläche der leitfähigen Säule 308a wird w1 genannt; der Abstand zwischen der Oberseite von zwei elektrischen Höckern 336 wird w2 genannt, und die Öffnungsbreite der Senke 340 an den Seitenwänden gemessen, wo die Stärke der zweiten Opferschicht 330 (h4) die Hälfte von h2 (h4 = h2 /2) beträgt, wird w4 genannt. Bei einigen Beispielen beträgt das Verhältnis h3:h1 von etwa 0,2 bis etwa 1,0, das Verhältnis von h2:h1 beträgt etwa 1,5 bis etwa 3,0, das Verhältnis von w4:w1 beträgt etwa 0,1 bis etwa 0,4, und das Verhältnis von w4:w2 beträgt etwa 0,05 bis etwa 0,4. Bei einem spezifischen Beispiel beträgt das Verhältnis von h3:h1 etwa 0,5; das Verhältnis von h2:h1 beträgt etwa 2, das Verhältnis von w4:w1 beträgt etwa 0,3, und das Verhältnis von w4:w2 beträgt etwa 0,2.
  • Bei Vorgang 212 bildet das Verfahren 200 (2A) eine Hartmaskenschicht 350 über dem Bauteil 300, wobei der PCRAM-Bereich 304a und der umfängliche Bereich 304b abgedeckt werden (7). Bei dem gezeigten Beispiel wird die Hartmaskenschicht 350 als eine flächendeckende Schicht über den dielektrischen Höckern 336 und über den Grund- und Seitenwänden der Senken 340 abgeschieden. Die Hartmaskenschicht 350 kann TiN, TaN, W, Si3N4, SiC, Siliziumoxid, SiON, SiCN, SiCON oder andere zweckdienliche Materialien oder eine Kombination dieser aufweisen. Die Zusammensetzung der Hartmaskenschicht 350 wird derart ausgewählt, dass die Hartmaskenschicht 350 etwas Ätzselektivität in Bezug auf die zweite Opferschicht 330 hat. Bei dem gezeigten Beispiel weist die Hartmaskenschicht 350 SiC auf. Bei einigen Beispielen wird die Hartmaskenschicht 350 durch einen CVD-Prozess abgeschieden. Aufgrund der Spaltenfüllkapazität eines CVD-Prozesses, können sich die sich abscheidenden Materialien leichter an oberen Abschnitten der Senke 340 als an ihrem Grund ansammeln. Ferner verhindert das verjüngte Profil der Seitenwände der Senke 340, dass die obere Öffnung der Senke 340 von dem CVD-Prozess geschlossen wird, bevor der Grund abgedeckt ist. Die Parameter bei dem CVD-Prozess (zum Beispiel Druck, Temperatur und Gasviskosität) können derart abgestimmt werden, dass das Spaltenfüllverhalten der Abscheidungsmaterialien die Senke 340 mit einer dünneren Hartmaskenschicht 350 an dem Grund als auf Seitenwänden aufrecht erhält. Bei einigen Beispielen, setzt der CVD-Prozess eine Einstellung mit Druck niedriger als etwa 0,8 Torr und Temperatur höher als etwa 80 Grad Celsius ein. Das Material der Hartmaskenschicht 350 kann daher abgeschieden werden, ohne die Öffnung der Senke 340 zu verschließen, wobei eine an dem Grund der Senke 340 abgeschiedene Schicht, die dünner ist als auf ihren Seitenwänden, verbleibt. An diversen Positionen über den dielektrischen Höckern 336 und über den Grund- und Seitenwänden der Senken 340, hat die Hartmaskenschicht 350 eine unterschiedliche Stärke. Über planaren Flächenabschnitten der zweiten Opferschicht 330, hat die Hartmaskenschicht 350 eine im Wesentlichen konstante Stärke sowohl in dem PCRAM-Bereich 304a als auch in dem umfänglichen Bereich 304b eine im Wesentlichen konstante Stärke, die Thm genannt wird, wie in 7 gezeigt. Die Hartmaskenschicht 350 kann eine Stärke Thm von etwa 20 nm bis etwa 100 nm, wie 60 nm haben.
  • Bei Vorgang 214 ätzt das Verfahren 200 (2A) die Hartmaskenschicht 350, um den Grund der Senke 340 (8) freizulegen. Da der Abschnitt der Hartmaskenschicht 150 an dem Grund der Senke 340 dünner ist als anderswo, wird der Grundabschnitt früher weggeätzt als andere Abschnitte, was darin resultiert, dass die zweite Opferschicht 330 an dem Grund der Senke 340 freigelegt wird, während andere Abschnitte der zweiten Opferschicht 330 noch von der Hartmaskenschicht 350 abgedeckt sind. Der Ätzprozess kann jede beliebige zweckdienliche Ätztechnik aufweisen, wie Nassätzen, Trockenätzen, RIE, Veraschen und/oder andere Ätzverfahren. Das Ätzmittel wird ausgewählt, um dem Ätzen der zweiten Opferschicht zu widerstehen. Ein Trockenätzprozess kann zum Beispiel ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (zum Beispiel HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon umsetzen. Ein Nassätzprozess kann zum Beispiel Ätzen in verdünnter Flusssäure (DHF); Kaliumhydroxid (KOH)-Lösung; Ammoniak; einer Lösung, die Flusssäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält, oder ein anderes geeignetes Nassätzmittel umfassen. Bei dem gezeigten Beispiel weist der Vorgang 212 einen Nassätzprozess auf, der durch Timing gesteuert wird, um die Hartmaskenschicht 350 zu verdünnen und nur den Grund der Senke 340 zu öffnen.
  • Bei Vorgang 216 ätzt das Verfahren 200 (2A) die zweite Opferschicht 330 unter Verwenden der Hartmaskenschicht 350 als eine Ätzmaske (9). Die Senke 340 wird während des Ätzprozesses abwärts erweitert und legt die dielektrische Schicht 310 an dem Grund der Senke 340 frei. Der Ätzprozess kann jede beliebige zweckdienliche Ätztechnik aufweisen, wie Nassätzen, Trockenätzen, RIE, Veraschen und/oder andere Ätzverfahren. Durch Auswählen eines Ätzmittels, das auf eine Materialzusammensetzung der zweiten Opferschicht 330 abzielt, während es dem Ätzen der Hartmaskenschicht 350 und der dielektrischen Schicht 310 widersteht, kann die Hartmaskenschicht 350 auf Seitenwänden der Senke 340 und der dielektrischen Schicht 310 an dem Grund der Senke 340 im Wesentlichen verbleiben. Die leitfähigen Säulen 308a und 308b bleiben von der dielektrischen Schicht 310 abgedeckt.
  • Bei Vorgang 218 ätzt das Verfahren 200 (2B) die dielektrische Schicht 310 unter Verwenden der zweiten Opferschicht 330 als einer Ätzmaske (10). Die Senke 340 wird während des Ätzprozesses abwärts erweitert und legt die leitfähige Säule 308a an dem Grund der Senke 340 frei. Die leitfähige Säule 308a dient während des Vorgangs 218 auch als eine Ätzstoppschicht. Das Entfernen der dielektrischen Schicht 310 von dem Grund der Senke 340 kann jede zweckdienliche Ätztechnik aufweisen, wie Nassätzen, Trockenätzen, RIE, Veraschen und/oder andere Ätzprozesse. Bei einigen Beispielen wird ein Ätzmittel derart ausgewählt, dass die dielektrische Schicht 310 und die zweite Opferschicht 330 eine hohe Ätzselektivität haben. Die Ätzselektivität zwischen der dielektrischen Schicht 310 und der zweiten Opferschicht 330 hat zum Beispiel ein Verhältnis von 5:1 oder größer, wie von 5:1 bis 20:1. Der Grundabschnitt der Senken 340, der von der dielektrischen Schicht 310 umgeben ist, bildet Durchkontaktierungsöffnungen 354 direkt oberhalb der leitfähigen Säulen 308a. Die Durchkontaktierungsöffnungen 354 sind mit leitfähigen Materialien bei darauffolgenden Prozessen zum Bilden von Heizelementen in PCRAM-Zellen aufzufüllen. Gemäß der oben stehenden Beschreibung, ist das Bilden der Durchkontaktierungsöffnung 354 in der Hauptsache ein Selbstfluchtungsprozess unter Verwenden fotolitografischer Strukturierung (mit der Ausnahme der Bildung der Opferblöcke 320a), und es kann ein relativ niedriges Verhältnis von Durchkontaktierungsöffnungs-Breiten-zu-Höhe-Verhältnis erzielt werden. Bei einigen Beispielen hat die Durchkontaktierungsöffnung 354 ein Breiten-zu-Höhen-Verhältnis kleiner als 1,0. Zur Förderung einiger Beispiele, hat die Durchkontaktierungsöffnung 354 ein Breiten-zu-Höhen-Verhältnis von etwa 0,2 bis etwa 1,0. Bei einem spezifischen Beispiel hat die Durchkontaktierungsöffnung 354 ein Breiten-zu-Höhen-Verhältnis von etwa 0,4. Bei noch einem anderen Beispiel hat die Durchkontaktierungsöffnung 354 ein Breiten-zu-Höhen-Verhältnis von etwa 0,1 bis etwa 0,2.
  • Bei Vorgang 220 füllt das Verfahren 200 (2B) die Durchkontaktierungsöffnung 354 mit einem leitfähigen Material (11). Das Füllen der Durchkontaktierungsöffnung kann das Abscheiden einer Schicht 356 aus leitfähigem Material über dem Bauteil 300 aufweisen, die den PCRAM-Bereich 304a und den umfänglichen Bereich 304b abdeckt. Geeignete leitfähige Materialien für die Schicht 356 weisen TiN, TaN, W, andere zweckdienliche leitfähige Materialien oder eine Kombination dieser auf. Die Schicht 356 aus leitfähigem Material kann durch eine beliebige zweckdienliche Technik abgeschieden werden, darunter CVD, LPCVD, HDP-CVD, PVD oder ALD. Bei dem gezeigten Beispiel wird die Schicht 356 aus leitfähigem Material durch einen ALD-Prozess abgeschieden, indem die starke Spaltfüllfähigkeit eines ALD-Prozesses zum Ausfüllen des Grundes der Senken 340 mit einem hohen Seitenverhältnis genutzt wird. Bei einigen Beispielen weisen die Schicht 356 aus leitfähigem Material und die Hartmaskenschicht 350 unterschiedliche Materialzusammensetzungen auf. Als ein Beispiel kann die Schicht 356 aus leitfähigem Material TiN aufweisen, während der Hartmaskenschicht 350 SiC aufweisen kann, oder die Schicht 356 aus leitfähigem Material kann TaN aufweisen, während die Hartmaskenschicht 350 TiN aufweisen kann. Bei dem gezeigten Beispiel weist die Schicht 356 aus leitfähigem Material dieselbe Materialzusammensetzung auf wie die Hartmaskenschicht 350, zum Beispiel TiN, so dass in Flächen, die in Berührung sind, keine Grenze zwischen der Schicht 356 aus leitfähigem Material und der Hartmaskenschicht 350 besteht. Die Schicht 356 aus leitfähigem Material füllt die Durchkontaktierungsöffnung 354, die von der dielektrischen Schicht 310 umgeben ist, auf, und hebt den Grund der Senke 340 an. Bei einigen Beispielen ist der angehobene Grund der Senke 340 höher als eine obere Oberfläche der Opferblöcke 320a. Bei einigen Beispielen ist der angehobene Grund der Senke 340 niedriger als eine obere Oberfläche der Opferblöcke 320a, aber höher als eine Bodenoberfläche der Opferblöcke 320a.
  • Bei Vorgang 222 führt das Verfahren 200 (2B) einen oder mehr chemischmechanische Planarisierungs- (CMP)-Prozesse aus, um das Bauteil 300 zu polieren und die dielektrische Schicht 310 freizulegen (12). Die dielektrische Schicht 310 dient während der CMP-Prozesse als eine CMP-Stoppschicht. Nach den CMP-Prozessen werden die Materialschichten oberhalb der dielektrischen Schicht 310, wie die Opferblöcke 320a, die zweite Opferschicht 330, die Hartmaskenschicht 350 und die Schicht 356 aus leitfähigem Material entfernt. Nach den CMP-Prozessen wird das leitfähige Material, das in die Durchkontaktierung 354 gefüllt ist, freigelegt, was auch Bodendurchkontaktierung 360 genannt wird. Bei einigen Beispielen hat die Bodendurchkontaktierung 360 ein Breiten-zu-Höhen-Verhältnis kleiner als 1,0. Zur Förderung einiger Beispiele, hat die Bodendurchkontaktierung 360 ein Breiten-zu-Höhen-Verhältnis von etwa 0,2 bis etwa 1,0. Bei einem spezifischen Beispiel hat die Bodendurchkontaktierung 360 ein Breiten-zu-Höhen-Verhältnis von etwa 0,4. Bei noch einem anderen Beispiel hat die Bodendurchkontaktierung 360 ein Breiten-zu-Höhen-Verhältnis von etwa 0,1 bis etwa 0,2. Die Höhe der Bodendurchkontaktierung 360 kann innerhalb eines Bereichs von etwa 20 nm bis etwa 100 nm, wie etwa 50 nm liegen.
  • Bei Vorgang 224 bildet das Verfahren 200 (2B) eine Schicht 370 aus Phasenwechselmaterial über dem Bauteil 300 (13). Die Schicht 370 aus Phasenwechselmaterial ist in physischer Berührung mit der Bodendurchkontaktierung 360. Die Schicht 370 aus Phasenwechselmaterial weist Phasenwechselmaterialien, wie chalkogenide Materialien und/oder stöchiometrische Materialien, auf. Bei einigen Beispielen weist die Schicht 370 aus Phasenwechselmaterial Germanium (Ge), Tellur (Te) oder Asbest (Sb) auf. Bei einem spezifischen Beispiel, weist die Schicht 370 aus Phasenwechselmaterial GeSbTe-Legierung, AgInSbTe-Legierung oder Hafniumoxidverbindung auf. Die Schicht 370 aus Phasenwechselmaterial kann durch eine beliebige zweckdienliche Technik abgeschieden werden, darunter CVD, LPCVD, HDP-CVD, PVD oder ALD.
  • Bei Vorgang 226 bildet das Verfahren 200 (2B) eine Elektrodenschicht 374 über der Schicht 370 aus Phasenwechselmaterial (13). Bei einigen Beispielen ist die Elektrodenschicht 374 aus TiN, TaN, W und/oder anderen geeigneten Materialien gebildet. Die Elektrodenschicht 374 kann durch eine beliebige zweckdienliche Technik abgeschieden werden, darunter Galvanisieren, CVD, LPCVD, HDP-CVD, PVD oder ALD.
  • Bei Vorgang 228 strukturiert das Verfahren 200 (2B) die Schicht 370 aus Phasenwechselmaterial und die Elektrodenschicht 374, um Phasenwechselstreifen 370a und obere Elektroden 374a, die über jeweiligen Bodendurchkontaktierungen 360 und leitfähigen Säulen 308a gestapelt sind, zu bilden (14). Das Strukturieren der Schicht 370 aus Phasenwechselmaterial und der Elektrodenschicht 374 kann eine Vielfalt von Prozessen, wie Fotolithografie und Ätzen, aufweisen. Der fotolitografische Prozess kann das Bilden einer Fotoresistschicht über der Elektrodenschicht aufweisen, das Exponieren des Fotolacks mit einer Strukturierung, die eine Öffnung definiert, das Ausführen eines Härtungsprozesses nach der Exposition und das Entwickeln des Fotolacks zum Bilden eines Maskenelements. Das Maskenelement oder eine Ableitung davon, wird dann zum Ätzen der Schicht 370 aus Phasenwechselmaterial und der Elektrodenschicht 374 verwendet. Das Maskierungselement (zum Beispiel ein strukturierter Fotolack) wird anschließend entfernt. Die Ätzprozesse können mehrere Ätzschritte mit unterschiedlichen Ätzchemikalien aufweisen, die jeweils auf ein besonderes Material in der Elektrodenschicht 374 und der Schicht 370 aus Phasenwechselmaterial abzielen. Die Ätzprozesse können einen oder mehr Trockenätzprozesse, Nassätzprozesse und andere geeignete Ätztechnik aufweisen. Die Ätzprozesse entfernen die Schicht 370 aus Phasenwechselmaterial und die Elektrodenschicht 374 von dem umfänglichen Bereich 304b.
  • Bei Vorgang 230 schreitet das Verfahren 200 (2B) zu weiteren Prozessen fort, um die Fertigung der PCRAM-Zellen 390 abzuschließen. Wie in 15 veranschaulicht, kann das Verfahren 200 zum Beispiel eine zweite dielektrische Schicht 380 über dem Bauteil 300 bilden. Die zweite dielektrische Schicht 380 kann eine ILD-Schicht oder eine intermetallische dielektrische (Inter-Metal Dielectric - IMD)-Schicht sein. Bei einigen Beispielen, weisen die dielektrischen Schichten 310 und 380 dasselbe Material (zum Beispiel Si3N4) auf, so dass keine Grenze zwischen den dielektrischen Schichten 310 und 380 in Flächen besteht, die miteinander in Berührung sind. Bei einigen Beispielen können die dielektrischen Schichten 310 und 380 unterschiedliche Materialzusammensetzungen aufweisen. Die dielektrische Schicht 310 weist zum Beispiel SiC auf, und die dielektrische Schicht 380 weist andere Materialien als SiC auf, wie Siliziumoxid, Borphosphorsilikatglas (BPSG), Tetraethylorthosilikat (TEOS)-Oxid, undotiertes Silikatglas, geschmolzenes Silikatglas (Fused Silicate Glass - FSG), Phosphorglas (Phosphosilicate Glass - PSG), Bor-dotiertes Siliziumglas (Boron doped Silicon Glass - BSG), dielektrisches Low-k-Material und/oder andere zweckdienliche dielektrische Materialien. Die zweite dielektrische Schicht 380 kann durch einen PECVD-Prozess, einen fließfähigen CVD (FCVD)-Prozess oder eine andere zweckdienliche Abscheidungstechnik abgeschieden werden. Das Verfahren 200 kann auch Durchkontaktierungen 382a und Metallleitungen 384a in dem PCRAM-Bereich 304a bilden, die elektrisch mit den oberen Elektroden 374a für metallische Verschaltungen verbinden. Die Durchkontaktierungen 382a und Metallleitungen 384a können aus Al, Cu, AlCu, W und/oder anderen geeigneten leitfähigen Materialien bestehen. Das Bilden der Durchkontaktierungen 382a und Metallleitungen 384a kann Dual-Damascene-Prozess aufweisen. Ähnlich werden in den peripheren Bereichen 304b Durchkontaktierungen 382b und Metallleitungen 384b gebildet, und verbinden elektrisch mit den leitfähigen Säulen 308b durch die dielektrische Schicht 310 hindurch.
  • Obwohl sie nicht einschränkend sein sollen, stellen eine oder mehr Beispiele der vorliegenden Offenbarung viele Vorteile für eine Halbleitervorrichtung und deren Ausbilden, darunter Phasenwechselspeicherzellen, bereit. Durchkontaktierungen mit sehr niedrigem Breiten-zu-Höhen-Verhältnis können zum Beispiel gebildet werden, um als Heizelemente mit hohen Heizeffizienzen zu funktionieren, die die Schreibgeschwindigkeit der Phasenwechselspeicherzellen erhöhen. Ferner sind die offenbarten Verfahren zum Bilden von Durchkontaktierungsstrukturen nicht auf das Bilden von Phasenwechselspeicherzellen beschränkt, und können ohne Weiteres in andere existierende Halbleiterherstellungsprozesse, in welchen Durchkontaktierungsstrukturen zu bilden sind, integriert werden.
  • Bei einem beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Verfahren. Das Verfahren weist das Bereitstellen eines Substrats auf, das eine leitfähige Säule, eine dielektrische Schicht über der leitfähigen Säule sowie eine Mehrzahl von Opferblöcken über der dielektrischen Schicht hat, wobei die Mehrzahl von Opferblöcken die leitfähige Säule in einer Draufsicht gesehen umgeben; das Abscheiden einer Opferschicht, die die Mehrzahl von Opferblöcken abdeckt, wobei die Opferschicht eine Senke direkt oberhalb der leitfähigen Säule hat; das Abscheiden einer Hartmaskenschicht über der Opferschicht; das Entfernen eines Abschnitts der Hartmaskenschicht von einem Grund der Senke; das Ätzen des Grunds der Senke unter Verwenden der Hartmaskenschicht als eine Ätzmaske, wodurch eine obere Oberfläche der leitfähigen Säule freigelegt wird, und das Bilden eines leitfähigen Materials innerhalb der Senke, wobei das leitfähige Material in physischer Berührung mit der oberen Oberfläche der leitfähigen Säule ist. Bei einer Ausführungsform hat jeder der Mehrzahl von Opferblöcken eine Zylinderform. Bei einer Ausführungsform weist die Opferschicht dieselbe Materialzusammensetzung auf wie die Mehrzahl von Opferblöcken. Bei einer Ausführungsform weisen die Opferschicht und die Mehrzahl von Opferblöcken unterschiedliche Materialzusammensetzungen auf. Bei einer Ausführungsform besteht die Mehrzahl von Opferblöcken aus vier Opferblöcken. Bei einer Ausführungsform weist das Abscheiden der Hartmaskenschicht das Ausführen eines chemischen Gasphasenabscheidungs- (Chemical Vapor Deposition - CVD)-Prozesses auf. Bei einer Ausführungsform weist das Entfernen des Abschnitts der Hartmaskenschicht das Ausführen eines Nassätzprozesses auf. Bei einer Ausführungsform weist das Bilden des leitfähigen Materials innerhalb der Senke das Ausführen eines Atomschichtabscheidungs- (Atomic Layer Deposition - ALD)-Prozesses auf. Bei einer Ausführungsform weist das leitfähige Material innerhalb der Senke Titannitrid auf. Bei einer Ausführungsform weist die Hartmaskenschicht dieselbe Materialzusammensetzung auf wie das leitfähige Material innerhalb der Senke. Bei einer Ausführungsform weist das Verfahren ferner das Ausführen eines chemisch-mechanischen Planarisierungs- (Chemical Mechanical Polishing - CMP)-Prozesses auf, um eine obere Oberfläche der dielektrischen Schicht nach dem Bilden des leitfähigen Materials innerhalb der Senke freizulegen. Bei einer Ausführungsform hat das leitfähige Material innerhalb der Senke nach dem Ausführen des CMP-Prozesses ein Breiten-zu-Höhen-Verhältnis kleiner als 1,0.
  • Bei einem anderen beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Verfahren zum Ausbilden einer Halbleitervorrichtung. Das Verfahren weist das Bereitstellen eines Halbleitersubstrats auf, das eine Bodenelektrode hat; das Bilden einer chemisch-mechanischen Planarisierungs-(CMP)-Stoppschicht oberhalb des Halbleitersubstrats; das Bilden einer ersten Opferschicht oberhalb der CMP-Stoppschicht; das Strukturieren der ersten Opferschicht, um in einer Draufsicht mehrere Opferblöcke um die Bodenelektrode zu bilden; das Abscheiden einer zweiten Opferschicht über den mehreren Opferblöcken, wobei die zweite Opferschicht eine Senke direkt oberhalb der Bodenelektrode hat; das Entfernen eines Abschnitts der zweiten Opferschicht von einem Grund der Senke, wodurch die CMP-Stoppschicht an dem Grund der Senke freigelegt wird; das Ätzen der CMP-Stoppschicht durch den Grund der Senke, wodurch eine Durchkontaktierungsöffnung in der CMP-Stoppschicht gebildet wird, und das Füllen der Durchkontaktierungsöffnung mit einem leitfähigen Material, wobei das leitfähige Material mit der Bodenelektrode in physischer Berührung ist, wobei das Entfernen des Abschnitts der zweiten Opferschicht (330) von dem Grund der Senke Folgendes aufweist: Bilden einer Hartmaskenschicht (350) über der zweiten Opferschicht (330); Entfernen eines Abschnitts der Hartmaskenschicht (350) oberhalb des Grunds der Senke (340), um den Abschnitt der zweiten Opferschicht (330) freizulegen, und Ätzen der zweiten Opferschicht (330) unter Verwenden der Hartmaskenschicht (350) als eine Ätzmaske. Bei einer Ausführungsform weist das Entfernen des Abschnitts der zweiten Opferschicht von dem Grund der Senke das Bilden einer Hartmaskenschicht über der zweiten Opferschicht; das Entfernen eines Abschnitts der Hartmaskenschicht über dem Grund der Senke, um den Abschnitt der zweiten Opferschicht freizulegen, und das Ätzen der zweiten Opferschicht unter Verwenden der Hartmaskenschicht als eine Ätzmaske auf. Bei einer Ausführungsform weist das Bilden der Hartmaskenschicht das Abscheiden der Hartmaskenschicht mit einer kleineren Stärke an dem Grund der Senke als auf Seitenwänden der Senke auf. Bei einer Ausführungsform weist das Verfahren nach dem Füllen der Durchkontaktierungsöffnung mit dem leitfähigen Material ferner das Ausführen eines CMP-Prozesses auf, um die zweite Opferschicht und die mehreren Opferblöcken zu entfernen; das Bilden einer Schicht aus Phasenwechselmaterial über der CMP-Stoppschicht, wobei die Schicht aus Phasenwechselmaterial in physischer Berührung mit dem leitfähigen Material ist, und das Bilden einer oberen Elektrode oberhalb der Schicht aus Phasenwechselmaterial. Bei einer Ausführungsform kann die Schicht aus Phasenwechselmaterial GeSbTe, AgInSbTe oder Hafniumoxid aufweisen.

Claims (20)

  1. Verfahren, umfassend: Bereitstellen eines Substrats (302), das eine leitfähige Säule (308a), eine dielektrische Schicht (310) über der leitfähigen Säule (308a) und eine Mehrzahl von Opferblöcken (320a) über der dielektrischen Schicht (310) hat, wobei die Mehrzahl von Opferblöcken (320a) in einer Draufsicht die leitfähige Säule (308a) umgibt; Abscheiden einer Opferschicht (330), die die Mehrzahl von Opferblöcken (320a) abdeckt, wobei die Opferschicht (330) eine Senke (340) direkt oberhalb der leitfähigen Säule (308a) hat; Abscheiden einer Hartmaskenschicht (350) über der Opferschicht (330); Entfernen eines Abschnitts der Hartmaskenschicht (350) von einem Grund der Senke (340); Ätzen des Grunds der Senke (340) unter Verwenden der Hartmaskenschicht (350) als einer Ätzmaske, wodurch eine obere Oberfläche der leitfähigen Säule (308a) freigelegt wird, und Bilden eines leitfähigen Materials (356) innerhalb der Senke (340), wobei das leitfähige Material (356) in physischer Berührung mit der oberen Oberfläche der leitfähigen Säule (308a) ist.
  2. Verfahren nach Anspruch 1, wobei jeder der Mehrzahl von Opferblöcken (320a) eine Zylinderform hat.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Opferschicht (330) dieselbe Materialzusammensetzung wie die Mehrzahl von Opferblöcken (320a) aufweist.
  4. Verfahren nach Anspruch 1 oder 2, wobei die Opferschicht (330) und die Mehrzahl von Opferblöcken (320a) unterschiedliche Materialzusammensetzungen aufweisen.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Mehrzahl von Opferblöcken (320a) aus vier Opferblöcken (320a) besteht.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Abscheiden der Hartmaskenschicht (350) das Ausführen eines chemischen Dampfabscheidungs- (CVD)-Prozesses aufweist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Entfernen des Abschnitts der Hartmaskenschicht (350) das Ausführen eines Nassätzprozesses aufweist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden des leitfähigen Materials (356) innerhalb der Senke (340) das Ausführen eines Atomlayerabscheidungs-(Atomic Layer Deposition - ALD)-Prozesses aufweist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei das leitfähige Material (356) innerhalb der Senke (340) Titannitrid aufweist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Hartmaskenschicht (350) dieselbe Materialzusammensetzung aufweist wie das leitfähige Material (356) innerhalb der Senke (340).
  11. Verfahren nach einem der vorhergehenden Ansprüche, das ferner Folgendes umfasst: Ausführen eines chemisch-mechanischen Planarisierungs- (Chemical Mechanical Planarization - CMP)-Prozesses, um eine obere Oberfläche der dielektrischen Schicht (310) nach dem Bilden des leitfähigen Materials (356) innerhalb der Senke (340) freizulegen.
  12. Verfahren nach Anspruch 11, wobei das leitfähige Material (356) innerhalb der Senke (340) nach dem Ausführen des CMP-Prozesses ein Breiten-zu-Höhen-Verhältnis kleiner als 1,0 hat.
  13. Verfahren zum Bilden einer Halbleitervorrichtung, das Folgendes umfasst: Bereitstellen eines Halbleitersubstrats, das eine Bodenelektrode (308a) hat; Bilden einer chemisch-mechanischen-Planarisierungs- (CMP)-Stoppschicht oberhalb des Halbleitersubstrats; Bilden einer ersten Opferschicht (320) oberhalb der CMP-Stoppschicht (310); Strukturieren der ersten Opferschicht (320), um in einer Draufsicht mehrere Opferblöcke (320a) um die Bodenelektrode (308a) zu bilden; Abscheiden einer zweiten Opferschicht (330) über den mehreren Opferblöcken (320a), wobei die zweite Opferschicht (330) eine Senke (340) direkt oberhalb der Bodenelektrode (308a) hat; Entfernen eines Abschnitts der zweiten Opferschicht (330) von einem Grund der Senke (340), wodurch die CMP-Stoppschicht (310) an dem Grund der Senke (340) freigelegt wird; Ätzen der CMP-Stoppschicht (310) durch den Grund der Senke (340), wobei eine Durchkontaktierungsöffnung (354) in der CMP-Stoppschicht (310) gebildet wird, und Füllen der Durchkontaktierungsöffnung (354) mit einem leitfähigen Material (356), wobei das leitfähige Material (356) mit der Bodenelektrode (308a) in physischer Berührung ist, wobei das Entfernen des Abschnitts der zweiten Opferschicht (330) von dem Grund der Senke Folgendes aufweist: Bilden einer Hartmaskenschicht (350) über der zweiten Opferschicht (330); Entfernen eines Abschnitts der Hartmaskenschicht (350) oberhalb des Grunds der Senke (340), um den Abschnitt der zweiten Opferschicht (330) freizulegen, und Ätzen der zweiten Opferschicht (330) unter Verwenden der Hartmaskenschicht (350) als eine Ätzmaske.
  14. Verfahren nach Anspruch 13, wobei das Bilden der Hartmaskenschicht (350) das Abscheiden der Hartmaskenschicht (350) mit einer kleineren Stärke an dem Grund der Senke (340) als auf Seitenwänden der Senke (340) aufweist.
  15. Verfahren nach Anspruch 13 oder 14, das nach dem Füllen der Durchkontaktierungsöffnung (354) mit dem leitfähigen Material (356) ferner Folgendes umfasst: Ausführen eines CMP-Prozesses, um die zweite Opferschicht (330) und die mehreren Opferblöcke (320a) zu entfernen; Bilden einer Schicht aus Phasenwechselmaterial über der CMP-Stoppschicht (310), wobei die Schicht aus Phasenwechselmaterial mit dem leitfähigen Material (356) in physischer Berührung ist, und Bilden einer oberen Elektrode (328) oberhalb des der Schicht aus Phasenwechselmaterial.
  16. Verfahren nach Anspruch 15, wobei die Schicht aus Phasenwechselmaterial GeSbTe, AgInSbTe oder Hafniumoxid aufweist.
  17. Verfahren nach einem der Ansprüche 13 bis 16, wobei die zweite Opferschicht (330) und die erste Opferschicht (320) unterschiedliche Materialzusammensetzungen aufweisen.
  18. Verfahren nach Anspruch 17, wobei die erste Opferschicht (320) Si3N4 und die zweite Opferschicht (330) TEOS aufweist oder die erste Opferschicht (320) TEOS-Oxid und die zweite Opferschicht (330) Si3N4 aufweist.
  19. Verfahren nach einem der Ansprüche 13 bis 18, wobei die zweite Opferschicht (330) dielektrische Höcker (336) an Lagen der Opferblöcke (320a) bildet.
  20. Verfahren nach Anspruch 19, wobei die dielektrischen Höcker (336) jeweils eine gebogene Seitenwand aufweisen.
DE102018107038.1A 2017-11-09 2018-03-23 Verfahren zur herstellung einer durchkontaktierungsstruktur Active DE102018107038B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762583866P 2017-11-09 2017-11-09
US62/583,866 2017-11-09
US15/884,505 US10439135B2 (en) 2017-11-09 2018-01-31 VIA structure and methods of forming the same
US15/884,505 2018-01-31

Publications (2)

Publication Number Publication Date
DE102018107038A1 DE102018107038A1 (de) 2019-05-09
DE102018107038B4 true DE102018107038B4 (de) 2022-06-09

Family

ID=66178781

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018107038.1A Active DE102018107038B4 (de) 2017-11-09 2018-03-23 Verfahren zur herstellung einer durchkontaktierungsstruktur

Country Status (2)

Country Link
US (1) US12010933B2 (de)
DE (1) DE102018107038B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050127347A1 (en) 2003-12-12 2005-06-16 Suk-Hun Choi Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20080164453A1 (en) 2007-01-07 2008-07-10 Breitwisch Matthew J Uniform critical dimension size pore for pcram application
US20090072216A1 (en) 2007-09-14 2009-03-19 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US20100078617A1 (en) 2008-10-01 2010-04-01 Breitwisch Matthew J Method to reduce a via area in a phase change memory cell

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000011859A (ja) 1998-06-22 2000-01-14 Yamaha Corp 電界放射型素子の製造方法
US7223693B2 (en) * 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR100827653B1 (ko) * 2004-12-06 2008-05-07 삼성전자주식회사 상변화 기억 셀들 및 그 제조방법들
JP2007014990A (ja) 2005-07-07 2007-01-25 Aisin Seiki Co Ltd レーザ加工方法及びレーザ加工装置
KR100675289B1 (ko) * 2005-11-14 2007-01-29 삼성전자주식회사 상변화 기억 셀 어레이 영역 및 그 제조방법들
US7741636B2 (en) * 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7820997B2 (en) 2006-05-30 2010-10-26 Macronix International Co., Ltd. Resistor random access memory cell with reduced active area and reduced contact areas
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8623734B2 (en) * 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
KR20130012385A (ko) 2011-07-25 2013-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN103165429B (zh) 2011-12-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 金属栅极形成方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102105196B1 (ko) 2013-07-25 2020-04-29 에스케이하이닉스 주식회사 반도체 소자 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9660188B2 (en) * 2014-08-28 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Phase change memory structure to reduce leakage from the heating element to the surrounding material
US9564585B1 (en) 2015-09-03 2017-02-07 HGST Netherlands B.V. Multi-level phase change device
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
JP6863341B2 (ja) 2018-06-28 2021-04-21 横河電機株式会社 フィールド機器、フィールド機器の診断方法および診断装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050127347A1 (en) 2003-12-12 2005-06-16 Suk-Hun Choi Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20080164453A1 (en) 2007-01-07 2008-07-10 Breitwisch Matthew J Uniform critical dimension size pore for pcram application
US20090072216A1 (en) 2007-09-14 2009-03-19 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US20100078617A1 (en) 2008-10-01 2010-04-01 Breitwisch Matthew J Method to reduce a via area in a phase change memory cell

Also Published As

Publication number Publication date
US20230059026A1 (en) 2023-02-23
US12010933B2 (en) 2024-06-11
DE102018107038A1 (de) 2019-05-09

Similar Documents

Publication Publication Date Title
DE102017012299B3 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxlale strukturelemente und verfahren zu ihrer herstellung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
US11489115B2 (en) VIA structure and methods of forming the same
DE102020205411A1 (de) Strukturen und sram-bitzellen mit einer vergrabenen querverbindung
DE10021385B4 (de) Verfahren zur Herstellung eines Kondensators mit Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE102020207521A1 (de) Asymmetrische gate-schnittisolation für sram
DE102016100272A1 (de) Metallkontaktfleck auf einer obersten elektrode eines rram
DE102014117338A1 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102018125000B4 (de) Durchkontaktierungsstruktur und Verfahren davon
DE10236682A1 (de) Halbleitervorrichtung
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE112006003206T5 (de) Verfahren zur Herstellung eines Kontakts in einer Halbleiteranordnung
DE112007001161T5 (de) Selektives Bilden von Abstandhaltern auf Transistoren unterschiedlicher Klassen auf derselben Baugruppe
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102018220751A1 (de) Middle-of-line strukturen
DE102016119019B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102020122109A1 (de) Phasenänderungsspeicherbauelement und verfahren
DE102004020938B3 (de) Verfahren zum Herstellen einer ersten Kontaktlochebene in einem Speicherbaustein
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE102021105045A1 (de) Speichermatrix und verfahren zu deren herstellung
DE102020122500A1 (de) Luft-spacer-strukturen
DE102018107038B4 (de) Verfahren zur herstellung einer durchkontaktierungsstruktur
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final