TW202139270A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202139270A
TW202139270A TW110106280A TW110106280A TW202139270A TW 202139270 A TW202139270 A TW 202139270A TW 110106280 A TW110106280 A TW 110106280A TW 110106280 A TW110106280 A TW 110106280A TW 202139270 A TW202139270 A TW 202139270A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
stack
gate
patterned
Prior art date
Application number
TW110106280A
Other languages
English (en)
Inventor
張家豪
黃麟淯
漢中 賈
楊柏峰
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/939,909 external-priority patent/US11404570B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202139270A publication Critical patent/TW202139270A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

本文揭露了一種方法,包括提供結構,結構具有基板、閘極堆疊、及位於基板上方的源極/汲極(source/drain, S/D)部件、位於S/D部件上方的S/D接觸件、位於閘極堆疊及S/D接觸件上方的一或多個介電層、以及穿過一或多個介電層並電性連接至閘極堆疊及S/D接觸件之一的導孔結構。方法更包括於結構上方形成鐵電(ferroelectric, FE)堆疊,其中FE堆疊包括FE層、及位於FE層上方的頂電極層,其中FE堆疊直接接觸導孔結構;並且將FE堆疊圖案化,得到圖案化的FE堆疊,包括圖案化的FE部件及位於圖案化的FE部件上方的圖案化的頂電極。

Description

半導體裝置的形成方法
本發明實施例是關於一種半導體裝置的形成方法,特別是關於一種具有嵌入式鐵電式場效電晶體之半導體裝置的形成方法。
半導體積體電路(integrated circuit, IC)產業已歷經了指數成長。IC材料及設計的技術性進步已產生了數個世代的ICs,其中各世代都比前一世代具有更小且更複雜的電路。在IC演進的歷程中,功能密度(即單位晶片面積的內連線裝置數目)通常會增加,而幾何尺寸(即可使用製程生產的最小元件(或線))卻減少。此微縮化(scaling down)的製程通常藉由提高生產效率及降低相關成本來提供效益。這種微縮化也已增加了ICs加工及製造的複雜性。因此,半導體製造製程需要持續的進步。 改善的領域之一為如何更有效地整合鐵電場效電晶體(ferroelectric field effect transistors, FeFET或FE)與CMOS裝置。
本發明實施例提供一種半導體裝置的形成方法,包括:提供結構,具有基板、多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件位於基板上方、多個S/D接觸件位於該些S/D部件上方、一或多個介電層位於該些閘極堆疊及該些S/D接觸件上方、以及導孔結構穿過一或多個介電層並電性連接至該些閘極堆疊及該些S/D接觸件之一;形成鐵電(ferroelectric, FE)堆疊於結構上方,其中FE堆疊包括FE層及位於FE層上方之頂電極層,其中FE堆疊直接接觸導孔結構;及圖案化FE堆疊,得到圖案化的FE堆疊,包括圖案化的FE部件及圖案化的頂電極於圖案化的FE部件上方。
本發明實施例提供一種半導體裝置的形成方法,包括:提供結構,具有基板、多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件位於基板上方、多個S/D接觸件位於該些S/D部件上方、一或多個介電層位於該些閘極堆疊及該些S/D接觸件上方、以及導孔結構穿過一或多個介電層並電性連接至該些閘極堆疊及該些S/D接觸件之一;形成鐵電(ferroelectric, FE)堆疊於結構上方,其中FE堆疊包括底電極層、FE層、及頂電極層,FE層位於底電極層上方,頂電極層位於FE層上方,其中FE堆疊直接接觸導孔結構;及圖案化FE堆疊,得到圖案化的FE堆疊,包括圖案化的底電極、圖案化的FE部件、及圖案化的頂電極,圖案化的FE部件位於圖案化的底電極上方,圖案化的頂電極位於圖案化的FE部件上方;及形成介電襯層,圍繞圖案化的FE堆疊之側壁。
本發明實施例提供一種半導體裝置,包括:基板;多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件,位於基板上方;多個S/D接觸件,位於該些S/D部件上方;一或多個介電層,位於該些閘極堆疊及該些S/D接觸件上方;導孔結構,穿過一或多個介電層並電性接觸該些閘極堆疊及該些S/D接觸件之一;及鐵電(ferroelectric, FE)堆疊,位於導孔結構上方並直接接觸導孔結構,其中FE堆疊包括FE部件及位於FE部件上方之頂電極。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,此處可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」等類似的用語,以便描述圖式中一部件或部件與另一(些)部件或部件之間的關係。空間相對用語除了包含圖示繪示的方位外,也意圖包含使用中或操作中之裝置的不同方位。更進一步,當使用“約”、“大約”等描述一個數字或一個數字範圍時,用語係根據本領域具有通常知識者所理解的知識,意圖概括包括所述數字之合理範圍內(例如在所述的數字+/- 10%之內)的數字,除非另有定義。 舉例而言,用語“約5nm”概括從4.5nm至5.5nm、4.0nm至5.0nm的尺寸範圍。
本揭露涉及一種半導體製造製程及其結構,並且更具體地涉及形成同時具有MOSFETs以及鐵電FETs(ferroelectric FETs, FeFETs)之半導體裝置的製程。可整合FeFETs與MOSFET(例如,CMOSFETs、FinFETs、奈米線 FET、奈米片FETs、或其他類型的多閘極FETs),以增強IC的功能。舉例而言,FeFETs可用作單電晶體非揮發性記憶體。一些方法於MOSFETs的後段產線(back-end-of-line, BEOL)製程中整合FeFETs。在那些方法中,FeFETs並未直接接觸MOSFETs的閘極導孔、以及源極/汲極(source/drain, S/D)接觸導孔。相反,它們形成於高層級(high-level)金屬內連線層中,並穿過金屬線及導孔的一或多層級連接至閘極導孔、以及S/D接觸導孔。在這些方法中,佈線(routing)有時會很長且複雜。此外,那些FeFETs通常具有較大的覆蓋區(footprint),因為它們形成於(多層)上層級內連線層中。在BEOL製程中整合FeFETs的另一個缺點為有限的熱預算(thermal budget)。鐵電材料通常需要例如在高於400℃的溫度下退火。熱預算限制了可於FeFETs下方之內連線層中使用的金屬類型。舉例而言,在BEOL中整合FeFETs時,銅可能會受到不利影響,上述銅為內連線層中金屬線及導孔常用的材料。相反地,本揭露的實施例在中段產線(middle-end-of-line, MEOL)製程中整合FeFETs與MOSFETs,其中FeFETs直接接觸MOSFETs的閘極導孔、以及S/D接觸導孔。閘極導孔及S/D接觸導孔並未具有銅或其他低熔點金屬,因此可放寬FeFET的熱預算。此外,由於FeFETs是在MEOL中製造的,因此它們的覆蓋區可很小,並且可縮短及簡化FeFETs及MOSFETs之間的佈線。藉由參照附圖進一步描述本揭露的這些及其他面向。
第1圖係根據本揭露的各個面向,係用於製造半導體裝置之方法10的流程圖。以下簡要描述方法10。方法10在操作12處提供具有經過了用於CMOS之前段產線(front-end-of-line, FEOL)製程的結構。舉例而言,結構可包括基板、位於基板內或基板上的主動區(例如,鰭片)、位於基板上方並位於主動區之間的隔離結構、位於隔離結構上方並齒合(engage)主動區以形成電晶體的閘極堆疊,上述電晶體例如MOSFETs(包括平面MOSFETs、FinFETs、奈米線FETs、奈米片FETs、或其他類型的多閘極FETs)。結構更包括位於每個閘極堆疊兩側的S/D部件、至S/D部件的S/D接觸件、位於閘極堆疊及S/D接觸件上方的一或多個介電層、以及穿過一或多個介電層電性連接至閘極堆疊及S/D接觸件的閘極導孔及S/D接觸導孔。方法10在操作14處於結構上方沉積FE堆疊。FE堆疊包括鐵電材料層、及位於鐵電材料層上方的電極(頂電極)。FE堆疊可選地包括於鐵電材料層下方的另一個電極(底電極)。具體而言,FE堆疊直接接觸閘極導孔、及S/D接觸導孔。根據設計要求,方法10在操作16處將FE堆疊圖案化,以形成各種圖案化的FE堆疊(或FeFETs)。方法10在操作18處於FeFETs的側壁周圍形成介電襯層。方法10在操作20處形成覆蓋FeFETs的介電層。方法10在操作22處於介電層中形成導電部件(例如,金屬線及導孔)並電性連接至FeFETs。方法10在操作24處進行進一步的步驟以完成製造。本揭露考慮了額外的製程。可在方法10之前、期間、及之後提供額外操作,並且對於方法10的額外實施例,可移動、替換、或消除所述的某些操作。
後文結合第2A圖至第20圖進一步描述方法10,第2A圖至第20圖係根據一些實施例,繪示出根據方法10之各個製造步驟中半導體裝置100的各種俯視圖、透視圖、及剖面圖。在一些實施例中,裝置100為IC晶片、系統單晶片(system on chip, SoC)之一部分或其部分,包括各種被動及主動微電子裝置,例如電阻器、電容器、電感器、二極管、p型場效電晶體(p-type field effect transistors, PFETs)、n型場效電晶體(n-type field effect transistors, NFETs)、FinFET 、奈米片FETs、奈米線FETs、其他類型的多閘極FETs、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors, MOSFETs)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor, CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors, BJT)、橫向擴散MOS(laterally diffused MOS, LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件、或其組合。在一些實施例中,裝置100被包括在非揮發性記憶體中,例如非揮發性隨機存取記憶體(non-volatile random access memory, NVRAM)、快閃記憶體、電子可抹除可程式化唯讀記憶體(electrically erasable programmable read only memory, EEPROM)、電子可程式唯讀記憶體(electrically programmable read-only memory, EPROM)、其他合適的記憶體類型、或其組合。為清楚起見,已將第2A圖至第20圖簡化,以更好地理解本揭露的發明構思。可在裝置100中添加額外的部件,並且於裝置100的其他實施例中可替換、修改、或消除後文所述的某些部件。
方法10在操作12處(第1圖)提供了裝置100的結構,其實施例繪示於第2A圖、第2B圖、第2C圖、及第2D圖中。具體而言,第2A圖及第2B圖係根據一實施例,分別繪示出裝置100之一部分的俯視圖及透視圖;第2C圖係根據一實施例,繪示出沿著第2A圖及2B圖中A—A線之裝置100的一部分的剖面圖;且第2D圖係根據一實施例,繪示出沿著第2A圖及第2B圖中B—B線之裝置100的一部分的剖面圖。
參照第2A圖,裝置100包括沿著“ x”方向縱向定向的主動區103、及沿著一般垂直於“ x”方向之“ y”方向縱向定向的閘極堆疊(或閘極結構)106。在本實施例中,主動區103為半導體鰭片。在後文中,主動區103也稱作半導體鰭片103或鰭片103。在其他實施例中,主動區103可具有其他形狀或構造。
總體上參照第2A圖至第2D圖,裝置100包括基板102,鰭片103及閘極堆疊106在其上方形成。裝置100包括用於隔離鰭片103的隔離結構105。鰭片103從基板102延伸並且位於隔離結構105上方。閘極堆疊106設置於隔離結構105上方並且於每個鰭片103的三個側面上。裝置100更包括位於閘極堆疊106之側壁上的閘極間隔物108、及位於鰭片103之一些側壁上的可選鰭片側壁間隔物107。裝置100更包括位於鰭片103之上、以及位於閘極堆疊106兩側上的S/D部件104。裝置100更包括位於閘極堆疊106之上的介電蓋109、位於S/D部件104之上的S/D接觸件110、位於S/D接觸件110之上的另一介電蓋111、以及位於介電蓋109及111上方的介電層112及114。裝置100更包括穿過一或多個介電層並電性連接至閘極堆疊106的閘極導孔116、以及穿過一或多個介電層並電性連接至S/D接觸件110的S/D接觸導孔118(繪示出一個)。後文進一步描述裝置100的各種部件(或組件)。
在本實施例中,基板102為矽(silicon, Si)基板,例如矽晶片。在替代實施例中,基板102包括其他元素半導體、化合物半導體、或合金半導體,上述元素(單元素)半導體例如鍺(germanium, Ge);上述化合物半導體例如碳化矽(silicon carbide, SiC)、砷化鎵(gallium arsenide, GaAs)、砷化銦(indium arsenide, InAs)、及磷化銦(indium phosphide, InP);上述合金半導體例如矽鍺(silicon germanium, SiGe)、碳化矽鍺(silicon germanium carbide, SiGeC)、磷砷化鎵(gallium arsenic phosphide, GaAsP)、及磷化鎵銦(gallium indium phosphide, GaInP)。在實施例中,基板102可包括絕緣體上覆矽(silicon-on-insulator, SOI)基板,經應變及/或被施加應力以提高性能,包括磊晶區、摻雜區、及/或包括其他合適的部件及層。
鰭片103可包括一或多層半導體材料,例如矽或矽鍺。鰭片103可藉由任何合適的方法來圖案化。舉例而言,可使用一種或多種光學微影製程來將鰭片103圖案化,上述光學微影製程包括雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了光學微影製程與自對準製程,以創建出例如,比使用單一、直接光學微影製程所得的節距更小的圖案。舉例而言,在一實施例中,在基板上方形成犧牲層,並使用光學微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後移除犧牲層,然後可使用剩餘的間隔物或心軸作為遮罩元件來圖案化鰭片103。舉例而言,遮罩元件可用於將凹槽蝕刻到半導體層中,在基板102上留下鰭片103,上述半導體層位於基板102上方或基板102中。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching, RIE)、及/或其他合適的製程。舉例而言,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如,CF4 、SF6 、CH2 F2 、CHF3 、及/或C2 F6 )、含氯氣體(例如,Cl2 、CHCl3 、CCl4 、及/或BCl3 )、含溴氣體(例如,HBr、及/或CHBr3 )、含碘氣體、其他合適的氣體、及/或電漿、及/或其組合。舉例而言,濕式蝕刻製程可包括在下列濕式蝕刻劑中進行蝕刻:稀氫氟酸(diluted hydrofluoric acid, DHF);氫氧化鉀(potassium hydroxide, KOH)溶液;氨(ammonia);含有氫氟酸(hydrofluoric acid, HF)、硝酸(nitric acid, HNO3 )、及/或乙酸(acetic acid, CH3 COOH)的溶液;或其他合適的濕蝕刻劑。形成鰭片103之方法的許多其他實施例可能是合適的。在裝置100包括例如奈米片裝置或奈米線裝置之全繞式閘極(gate-all-around)電晶體的一些實施例中,鰭片103包括(沿著“ z”方向)垂直堆疊的複數層半導體材料(例如,矽)、以及包繞每一層位於電晶體之通道區中之複數層半導體材料的閘極堆疊106部分。
S/D部件104包括磊晶成長的半導體材料,例如磊晶成長的矽、鍺、或矽鍺。可藉由包括例如下列的任何磊晶製程來形成S/D部件104:化學氣相沉積(chemical vapor deposition, CVD)技術(例如,氣相磊晶(vapor phase epitaxy)、及/或超高真空CVD)、分子束磊晶(molecular beam epitaxy)、其他合適的磊晶成長製程、或其組合。S/D部件104可摻雜n型摻質、及/或p型摻質。在一些實施例中,對於n型電晶體而言,S/D部件104包括矽並且可摻雜碳、磷、砷、其他n型摻質、或其組合(例如,形成Si:C磊晶S/D部件、Si:P磊晶S/D部件、或Si:C:P磊晶S/D部件)。在一些實施例中,對於p型電晶體而言,S/D部件104包括矽鍺或鍺,並且可摻雜硼、其他p型摻質或其組合(例如,形成Si:Ge:B磊晶S/D部件)。S/D部件104可包括具有不同程度之摻質密度的複數個磊晶半導體層。在一些實施例中,進行退火製程(例如,快速熱退火(rapid thermal anneal, RTA)、及/或雷射退火(laser annealing))以活化磊晶S/D部件104中的摻質。
隔離結構105可包括氧化矽(silicon oxide, SiO2 )、氮化矽(silicon nitride, Si3 N4 )、氮氧化矽(silicon oxynitride, SiON)、摻氟矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、低k介電材料、及/或其他合適的絕緣材料。在一實施例中,藉由下列製程來形成隔離結構105:蝕刻基板102中或基板102上方的溝槽(例如,作為形成鰭片103之製程的一部分),用絕緣材料填充溝槽並進行化學機械平坦化(chemical mechanical planarization, CMP)製程、及/或對絕緣材料進行回蝕刻製程,留下剩餘的絕緣材料作為隔離結構105。其他類型的隔離結構也可能適用,例如場氧化物、及矽局部氧化(LOCal Oxidation of Silicon, LOCOS)。隔離結構105可包括多層結構,例如在基板102及鰭片103的表面上具有一或多個襯層(例如,氮化矽)、以及在一或多個襯層上方具有主要隔離層(例如,二氧化矽)。
在一實施例中,每個閘極堆疊106包括閘極介電層106a、及位於閘極介電層106a上方的閘極電極層106b。閘極介電層106a可包括高k介電材料,例如氧化鉿(hafnium oxide)、氧化鋯(zirconium oxide)、氧化鑭(lanthanum oxide)、氧化鈦(titanium oxide)、氧化釔(yttrium oxide)、及鈦酸鍶(strontium titanate)。可藉由例如下列任何合適的方法來形成閘極介電層106a:化學氧化、熱氧化、原子層沉積(atomic layer deposition, ALD)、化學氣相沉積CVD(chemical vapor deposition, CVD)、及/或其他合適的方法。在一些實施例中,閘極堆疊106更包括位於閘極介電層106a及鰭片103之間的界面層。界面層可包括二氧化矽、氮氧化矽、或其他合適的材料。在一些實施例中,閘極電極層106b包括n型或p型功函數層、及金屬填充層。舉例而言,n型功函數層可包括具有足夠低之有效功函數的金屬,例如:鈦(titanium)、鋁(aluminum)、碳化鉭(tantalum carbide)、氮碳化鉭(tantalum carbide nitride)、氮矽化鉭(tantalum silicon nitride)、或其組合。舉例而言,p型功函數層可包括具有足夠大之有效功函數的金屬,例如:氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)、釕(ruthenium)、鉬(molybdenum)、鎢(tungsten)、鉑(platinum)、或其組合。舉例而言,金屬填充層可包括鋁(aluminum)、鎢(tungsten)、鈷(cobalt)、銅(copper)、及/或其他合適的材料。可藉由CVD、PVD、電鍍、及/或其他合適的製程來形成閘極電極層106b。在第2C圖及第2D圖所示的實施例中,閘極導孔116電性接觸閘極堆疊106的閘極電極層106b。
每個鰭片側壁間隔物107及閘極間隔物108可為單層或多層結構。在一些實施例中,每個間隔物107及108包括例如下列的介電材料:氧化矽(silicon oxide, SiO2 )、氮化矽(silicon nitride, Si3 N4 )、氮氧化矽(silicon oxynitride, SiON )、其他介電材料、或其組合。在一示例中,藉由下列製程來形成間隔物107及108:於包括閘極堆疊106及鰭片103的裝置100上方沉積第一介電層(例如,具有實質上均勻之厚度的SiO2 層)作為襯層,且於第一介電層上方沉積第二介電層(例如,Si3 N4 層)作為主要D形間隔物,然後非等向性蝕刻以移除介電層的部分,以形成間隔物107及108。此外,可在成長S/D部件104之前,在形成凹槽到鰭片103中的蝕刻製程期間,部分地移除鰭片側壁間隔物107。在一些實施例中,可藉由這種蝕刻製程完全移除鰭片側壁間隔物107。
S/D接觸件110可包括一種或多種金屬材料或金屬氮化物,上述金屬材料例如鎢(tungsten, W)、鈷(cobalt, Co)、釕(ruthenium, Ru)、其他金屬,上述金屬氮化物例如氮化鈦(titanium nitride, TiN )、氮化鋁鈦(titanium aluminum nitride, TiAlN、氮化鎢(tungsten nitride, WN)、氮化鉭(tantalum nitride, TaN )、或其組合,並且可藉由CVD、PVD、電鍍、及/或其他合適的製程來形成S/D接觸件110。在一些實施例中,S/D接觸件110包括金屬氮化物層(例如,TiN 、TiAlN、WN、或TaN)、及位於金屬氮化物層上方的金屬層(例如,W、Co、或Ru)。對於進一步的這些實施例,金屬氮化物層直接接觸閘極間隔物108及S/D部件104的表面。在一些實施例中,裝置100包括位於S/D部件104及S/D接觸件110之間的矽化物部件。
每個介電蓋109及111可包括例如下列的介電材料:La2 O3 、Al2 O3 、SiOCN、SiOC、SiCN、SiO2 、SiC、ZnO、ZrN、Zr2 Al3 O9 、TiO2 、TaO2 、ZrO2 、HfO2 、Si3 N4 、Y2 O3 、AlON 、TaCN、ZrSi、或其他(多個)合適的材料。可藉由原子層沉積(atomic layer deposition, ALD)、CVD、及/或其他合適的方法來形成介電蓋109及111,並且介電蓋109及111可包含相同或不同的介電材料。可藉由凹蝕閘極堆疊106,於凹蝕的閘極堆疊106上方沉積一種或多種介電材料,並對一種或多種介電材料進行CMP製程,來形成介電蓋109。可藉由凹蝕S/D接觸件110,在凹蝕的S/D接觸件110上方沉積一種或多種介電材料,並對一種或多種介電材料進行CMP製程,來形成介電蓋111。
介電層112可包括氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、具有氧(oxygen, O)或碳(carbon, C)元素的氮化矽、及/或其他材料;並且可藉由CVD、PVD、ALD、或其他合適的方法來形成介電層112。在一實施例中,將介電層112於介電蓋109及111的表面上方沉積成實質上均勻的厚度。在本實施例中,介電層112為接觸蝕刻停止層(contact etch stop layer, CESL)。因此,它也被稱為CESL 112。
介電層114可包括四乙氧基矽烷(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽、及/或其他合適的介電材料,上述摻雜的氧化矽例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、摻氟矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、摻硼矽酸鹽玻璃(boron doped silicon glass, BSG)。可藉由下列方法來形成介電層114:電漿增強CVD(plasma enhanced CVD, PECVD)、流動式CVD(flowable CVD, FCVD)、或其他合適的方法。在本實施例中,介電層114為層間介電(inter-level dielectric, ILD)層。因此,它也稱作ILD 114。
閘極導孔116可包括例如下列一種或多種導電材料:Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、TaN、Ni、TiSiN、或其組合,並且可藉由CVD、PVD、電鍍、及/或其他合適的製程來形成閘極導孔116。閘極導孔116穿過閘極堆疊106頂部上的各個介電層並電性接觸閘極堆疊106。在本實施例中,閘極導孔116穿過介電層114、112、及109。在一些實施例中,裝置100更包括位於閘極導孔116及各種介電層之間的閘極導孔膠層(或黏著層)150(參照第9A圖作為示例)。
S/D接觸導孔118可包括例如下列一種或多種導電材料:Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、TaN、Ni、TiSiN、或其組合,並且可藉由CVD、PVD、電鍍、及/或其他合適的製程來形成S/D接觸導孔118。S/D接觸導孔118穿過S/D接觸件110頂部上的各個介電層,並且電性接觸S/D接觸件110。在本實施例中,S/D接觸導孔118穿過介電層114、112、及111。在一些實施例中,裝置100更包括位於S/D接觸導孔118及各種介電層之間的S/D接觸導孔膠層(或黏著層)152(參照第9A圖作為示例)。
可藉由包括光學微影、蝕刻、沉積、及CMP製程來形成閘極導孔116、及S/D接觸導孔118。舉例而言,可使用光學微影製程來製造蝕刻遮罩,上述蝕刻遮罩於裝置100上方提供開口。開口對應於閘極導孔116、及S/D接觸導孔118的位置。然後,穿過開口蝕刻各個介電層114、112、111、及109以形成溝槽,上述溝槽露出閘極堆疊106、及/或S/D接觸件110的表面。隨後,將一或多個導電材料沉積至溝槽中,並進行CMP製程,以移除一或多個導電材料的多餘部分並使裝置100的頂表面平坦化。一或多個導電材料的剩餘部分成為閘極導孔116及/或S/D接觸導孔118。在各種實施例中,閘極導孔116及S/D接觸導孔118可包括相同或不同的材料,並且可藉由相同或不同的製程來形成閘極導孔116及S/D接觸導孔118。
方法10在操作14處(第1圖)於閘極導孔116及S/D接觸導孔118上方形成鐵電(ferroelectric, FE)堆疊130。參照第3A圖及第3B圖,在本實施例中,FE堆疊130包括底電極層132、位於底電極層132上方的FE層134、及位於FE層134上方的頂電極層136。底電極層132直接接觸閘極導孔116及S/D接觸導孔118。底電極層132可包括例如下列的導電材料:Ru、Pt、Ta、TaN、Ti、TiO2 、TiN、W、Ir、IrO2 、SrRuO3 、LaNiO3 、或其組合。在一些實施例中,底電極層132可具有(沿著“ z”方向)約0.5nm至約30nm的厚度。FE層134可包括PZT (Pb(Zrx Ti1-x )O3 )、SBT (SrBi2 Ta2 O9 )、BLT ((Bi,La)4 Ti3 O12 )、BIT (Bi4 Ti3 O12 )、HfZrO2 、HfO2 、及BFO (BiFeO3 )、或其他合適的(多個)鐵電材料。在一些實施例中,FE層134可具有(沿著“ z”方向)約0.5nm至約50nm的厚度。頂電極層136可包括例如下列的介電材料:Ru、Pt、Ta、TaN、Ti、TiO2 、TiN、W、Ir、IrO2 、SrRuO3 、LaNiO3 、或其組合。在一些實施例中,頂電極層136可具有(沿著“ z”方向)約0.5nm至約30nm的厚度。可藉由ALD、CVD、PVD、電鍍、及/或其他合適的製程來形成層132、134、及136。在一些實施例中,於FE堆疊130中省略了底電極層132(參照第10A圖及10B作為示例)。在那些實施例中,FE層134直接接觸閘極導孔116及S/D接觸導孔118。在一些實施例中,在沉積FE層134之後,方法10將FE層134退火。退火溫度取決於FE層134中的(多個)材料,並且將退火溫度設計為裝置100的各種結構可容忍的溫度,上述結構包括閘極導孔116、S/D接觸導孔118、及/或電極層132及136。在一些示例中,退火溫度超過400°C。在本實施例中,由於閘極導孔116、S/D接觸導孔118、以及電極層132及136並未包括銅或(多個)其他低熔點金屬,因此可將退火溫度設計為高的且能有效率地處理FE材料的溫度。
在方法10的操作16處(第1圖)將FE堆疊130進行圖案化,以得到各種圖案化的FE堆疊130'(第4A圖、第4B圖)。在本實施例中,圖案化的FE堆疊130'為FeFETs。因此,圖案化的FE堆疊130'也被稱作FeFETs 130'。操作16可包括各種製程,包括沉積、光學微影、及蝕刻。舉例而言,操作16可例如藉由旋塗於FE堆疊130上方沉積抗反射塗層(anti-reflective coating, ARC),並且於ARC層上方沉積光阻層。在一些實施例中,可省略ARC層。隨後,操作16對光阻層進行曝光製程,進行曝光後烘烤製程,並在顯影劑溶液中顯影曝光的光阻層。在顯影之後,將光阻層圖案化成光阻圖案,上述光阻圖案提供穿過其的開口。然後,使用乾式蝕刻、濕式蝕刻、反應性離子蝕刻、或其他合適的蝕刻方法穿過開口蝕刻可選的ARC層及FE堆疊130。在一實施例中,將蝕刻製程調整成對FE堆疊130的材料具有選擇性,並且不(或僅少量)蝕刻介電層114及導孔116、118。在蝕刻完成之後,操作16從裝置100移除光阻圖案以及可選的ARC層,舉例而言,使用對光阻圖案及ARC層具有選擇性的光阻剝離、灰化、或其他合適的製程。在第4A圖及第4B圖所繪示的實施例中,每個FeFETs 130'包括圖案化的底電極132'、圖案化的FE層134'(或FE部件134')、及圖案化的頂電極136'。
如第5A圖至第5B圖所示,方法10在操作18處(第1圖)於FeFETs 130'的側壁上形成介電襯層138。介電襯層138可包括例如下列的材料:La2 O3 、Al2 O3 、SiOCN、SiOC、SiCN、SiO2 、SiC、ZnO、ZrN、ZrAlO、Ta2 O5 ,ZrO2 、HfO2 、Si3 N4 、Y2 O3 、AlON 、TaCN、SiON、或其他合適的材料。在一實施例中,操作18例如藉由ALD、CVD、或其他合適的方法於FeFETs 130'的頂表面及側壁表面上方、以及於介電層114的頂表面上方沉積毯覆(blanket)介電層。隨後,操作18例如藉由非等向性蝕刻方法,移除FeFETs 130'之頂表面上、及介電層114之頂表面上的毯覆介電層的部分,在FeFETs 130'的側壁上留下毯覆介電層的部分作為介電襯層138。蝕刻可為乾式蝕刻、濕式蝕刻、或RIE,並且將蝕刻調整為對介電襯層138的材料具有選擇性,且不(或僅少量)蝕刻FeFETs 130'及介電層114。在本實施例中,介電襯層138可具有(沿著“ x”及“ y”方向)約0.5nm至約35nm的厚度。
如第6A圖及第6B圖所示,在方法10操作20處(第1圖)於FeFETs 130'及介電層114上方形成介電層140。具體而言,將FeFETs 130'嵌入於介電層140中。在本實施例中,介電層140包括例如下列材料:La2 O3 、Al2 O3 、SiOCN、SiOC、SiCN、SiO2 、SiC、ZnO、ZrN、ZrAlO、Ta2 O5 ,ZrO2 、HfO2 、Si3 N4 、Y2 O3 、AlON 、TaCN、SiON、或其他合適的材料。介電層140也可包括例如下列的介電材料:四乙氧基矽烷(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽、及/或其他合適的介電材料,上述摻雜的氧化矽例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、摻氟矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、摻硼矽酸鹽玻璃(boron doped silicon glass, BSG)。可藉由下列方法來形成介電層140:CVD、電漿增強CVD(plasma enhanced CVD, PECVD)、流動式CVD(flowable CVD, FCVD)、或其他合適的方法。
如第7A圖及第7B圖所示,在方法10的操作22處(第1圖)於介電層140中形成導電部件142,並且導電部件142電性連接至FeFETs 130'。具體而言,導電部件142直接接觸FeFETs 130'的頂電極136'。導電部件142可包括金屬線及/或金屬導孔。導電部件142可包括銅(copper)、鋁(aluminum)、鎢(tungsten)、鈷(cobalt)、釕(ruthenium)、金屬氮化物(例如,TiN、TaN、或WN)、或其他合適的材料。可使用鑲嵌、雙鑲嵌、或其他製程來形成導電部件142。舉例而言,如前文所述,操作22可進行光學微影製程以形成光阻圖案。光阻圖案提供與導電部件142所處位置相對應的開口。然後,操作22穿過光阻圖案中的開口蝕刻介電層140以形成溝槽。將蝕刻調整成對介電層140的材料具有選擇性,並且不(或僅少量)蝕刻頂電極136'及介電襯層138。隨後,將一種或多種導電材料沉積至溝槽中並進行CMP製程,以移除導電材料的多餘部分並將裝置100的頂表面平坦化。剩餘在溝槽中的(多個)導電材料的部分成為導電部件142。
第7A圖及7B進一步繪示出與FeFETs 130'相關的各種幾何形狀。舉例而言,底電極132'在其底表面具有厚度t1及寬度w1;FE部件134'在其底表面具有厚度t2及寬度w2;頂電極136'在其底表面具有厚度t3及寬度w3,並且在其頂表面具有寬度w4。沿著“ z”方向測量厚度t1、t2、及t3。沿著“ x”方向測量寬度w1、w2、w3、及w4。在各種實施例中,t1可在約0.5nm至約30nm的範圍內,並且t3可在約0.5nm至約30nm的範圍內。在一些實施例中,t2可在約0.5nm至約50nm的範圍內。如果t2太厚(例如,大於50 nm),則FeFET中的壓降(voltage drop)可能會很高,導致高功率消耗。如果t2太薄(例如,薄於0.5nm),則FeFET中的壓降可能會太低,並且層134'可能會失去其鐵電性質。再者,在實施例中,w1可在約1nm至約40nm的範圍內; w2可在約1nm至約37nm的範圍內;w3可在約1nm至約34nm的範圍內;w4可在約1nm至約31nm的範圍內。如果寬度w1至w4太小(例如,小於1nm),則FeFETs之間的操作差異可能很大。另一方面,如果寬度w1至w4太大(例如,大於上述值),則可能會妨礙裝置微縮化。在一實施例中,FeFET 130'在“ x-z”及“ y-z”平面上具有大致梯形(trapezoidal)的形狀(即頂電極136'比FE部件134'窄,FE部件134'比底電極132'窄,或換言之,w4<w3<w2<w1)。再者,第7A圖繪示出介電襯層138(沿著“ x”方向或“ y”方向測量)具有厚度t4,在各種實施例中,厚度t4可在約0.5nm至約35nm的範圍內。在一些實施例中,如果厚度t4太小(例如,小於0.5nm),則其可能隨時間失去絕緣特性,導致可靠性問題。另一方面,如果厚度t4太大(例如,大於0.35nm),則可能會妨礙裝置微縮化。更進一步,第7A圖及第7B圖繪示出介電襯層138的側表面及介電層114的頂表面可在“ x-z”平面中形成角度θ1並且在“ y-z”平面中形成角度θ2;導電部件142及介電襯層138的側表面可在“ y-z”平面中形成角度θ3。在各種實施例中,角度θ1可在約91度至約150度的範圍內,角度θ2可在約91度至約150度的範圍內,並且角度θ3可在約45度至約89度的範圍內。在各種實施例中,如果角度θ1及θ2太大(例如,大於150度),則底電極132'(或FE部件134')可能會非常寬,並且鄰近的(nearby)FeFETs 130'之間的間隔可能會變窄。狹窄的間距隨著時間可能導致可靠性問題。類似地,如果角度θ3太小(例如,小於45度),則位於鄰近的FeFET 130'之間的導電部件142可能彼此之間太靠近,隨著時間可能導致可靠性問題。
在方法10的操作24處(第1圖)對裝置100進行進一步的製造。舉例而言,操作24可於介電層140及導電部件142上方沉積一或多個ILD層,並於一或多個ILD層中形成金屬線及金屬導孔。一或多個ILD層、金屬線、及金屬導孔可為多層內連線層的一部分。具體而言,金屬線及金屬導孔將導電部件142連接至其他導電部件,上述導電部件例如閘極導孔、S/D接觸導孔、或封裝I/O墊(package I/O pads)、或封裝接腳(package pins),從而將FeFETs 130'與MOSFETs整合於裝置100中。
第8A圖至第20圖繪示出裝置100的各種實施例(或變型)。這些實施例中的大多數部件相同於參照第2A圖至第7B圖所討論的部件,並具有相同的參考數值表示相同或相似的部件。第8A圖至第20圖簡要地描述如下。
第8A圖繪示出裝置100的實施例,其中將介電襯層138凹蝕(例如,在形成介電襯層138之非等向性蝕刻製程期間)至頂電極136'之頂表面下方的層級。在各種實施例中,介電襯層138的頂表面可在頂電極136'之頂表面下方沿著“ z”方向的距離L1處,上述L1為約0nm至約30nm。再者,在此實施例中,介電襯層138具有圓化的頂角。在各種實施例中,從介電襯層138之圓角的底部到頂電極136'的頂表面沿著“ z”方向的長度L2為約0nm至約30nm。在此實施例中,頂電極136'也可具有圓化的頂角。在各個實施例中,從頂電極136'之圓角的底部到頂電極136'之頂表面沿著“ z”方向的長度L3為約0nm至約30nm。在各種實施例中,如果L1、L2、及L3太大(例如,它們中的任何一個大於30nm),則FeFET 130'的鐵電性質可能被導電部件142打斷,或者FeFET 130'可能不會正常的運作。再者,介電襯層138在“ x-z”平面及/或“ y-z”平面中FeFET 130'的左側部分及右側部分之間可具有高度差d1。在各個實施例中,差值d1在約0nm至約15nm的範圍內。第8A圖進一步示繪出裝置100的實施例,其中FeFET 130'由於製程重疊偏移(overlay shift)而以偏離中心的一些偏移(稱作底部偏移)落在閘極導孔116及/或S/D接觸導孔118上。舉例而言,在各種實施例中,底部偏移(其為第8A圖中BOL1及BOL2之間的差值)可在約-15 nm至約15 nm的範圍內。
第8B圖繪示出裝置100的實施例,其中一些導電部件142以一些重疊偏移落在FeFET 130'上。舉例而言,在各種實施例中,從FeFET 130'之左側TOL1的重疊偏移可在約0nm至約15nm的範圍內;且從FeFET 130'之右側TOL2的重疊偏移可在約0nm至約15nm的範圍內。再者,一些導電部件142可部分地落在FeFET 130'上並且部分地落在介電層114上。在各種實施例中,導電部件142可在約0nm至約1nm之範圍內的長度LA1下接觸FeFET 130',並且可在約0nm至約35nm範圍內的長度LA2下接觸介電襯層138。在一些實施例中,當重疊偏移顯著時,導電部件142可在約0nm至約10nm範圍內的長度LA3下接觸介電層114。在各種實施例中,如果長度LA3太大(例如,大於10nm),則於FeFET 130'的側面上可能存在導電部件142的很大一部分,這將干擾FeFET 130'的鐵電性質。長度LA1、LA2、及LA3是在“ y-z”平面中並沿著“ y”方向所量測的。
第9A圖及9B繪示出裝置100的實施例,裝置100包括位於閘極導孔116的外表面上的膠層(或黏著層)150、及位於S/D接觸導孔118之外表面上的膠層(或黏著層)152。在各種實施例中,膠層150及152可包括例如下列的材料:Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、TaN、Ni、TiSiN、或其他合適的材料。再者,在各種實施例中,膠層150及152可包括相同的材料或不同的材料,並且可藉由相同的製程或不同的製程來形成膠層150及152。膠層150可具有(沿著“ x”或“ y”方向)的側壁厚度TS1、及(沿著“ z”方向)的底部厚度TB1。在各種實施例中,TS1可在約0.1nm至約3nm的範圍內,並且TB1可在約0.1nm至約3nm的範圍內。膠層152可具有(沿著“ x”或“ y”方向)的側壁厚度TS2、及(沿著“ z”方向)的底部厚度TB2。在各種實施例中,TS2可在約0.1nm至約3nm的範圍內,並且TB2可在約0.1nm至約3nm的範圍內。具有膠層150及152可進一步增強閘極導孔116及S/D接觸導孔118的可靠性。在各種實施例中,如果膠層150及152太厚(例如,TS1、TB1、TS2、及TB2中的任何一個大於3 nm),則膠層的電阻可能會很大,導致較差的裝置性能。另一方面,如果膠層150及152太薄(例如,TS1、TB1、TS2、及TB2中的任何一個小於0.1nm),則導孔116及118可能由於與周圍結構的附著力不足,而可能會在隨後的製程中遭受非預期性拉升(pull-up)。
第10A圖及10B繪示出裝置100的實施例,其中在FeFETs 130'中省略了底電極132'。如圖所示,FE部件134'直接接觸閘極導孔116及S/D接觸導孔118。省略底電極132'進一步簡化了製造製程並減小了裝置100的總厚度。
第11A圖及第11B圖繪示出裝置100的實施例,其中閘極間隔物108及閘極堆疊106具有實質上共平面的頂表面,並且將介電蓋109設置於閘極間隔物108及閘極堆疊106的頂表面上方,並且並未將介電蓋109橫向地設置於兩個相鄰的閘極間隔物108之間。
第12A圖及第12B圖繪示出裝置100的實施例,其中在裝置100中省略了介電層112及114。將介電蓋109及111橫向地設置於鄰近的閘極間隔物108之間。導孔116及118分別形成於介電蓋109及111中。介電蓋109及111、閘極間隔物108、以及導孔116及118具有實質上共平面的頂表面。FeFETs 130'直接設置於閘極間隔物108、介電蓋109及111、以及導孔116及118的頂表面上。雖然第12A圖及第12B圖中並未繪示,在一些實施例中,可在FeFET 130'中省略底電極132'。省略介電層112及114進一步簡化了製造製程並減小了裝置100的總厚度。
第13A圖及第13B圖繪示出裝置100的實施例,其中在裝置100中省略了介電蓋111。如圖所示,S/D接觸件110及介電蓋109具有實質上共平面的頂表面,並且將介電層112設置於S/D接觸件110及介電蓋109的頂表面上。S/D接觸導孔118穿過介電層114及112以電性接觸S/D接觸件110。省略介電蓋111縮短了FeFET 130'及S/D接觸件110之間的連接,允許FeFET 130'更快的操作。
第14A圖及14B繪示出類似於第13A圖及第13B圖中所示之裝置100的實施例,即在裝置100中省略了介電蓋111。再者,閘極間隔物108及閘極堆疊106具有實質上共平面的頂表面,並且將介電蓋109設置於閘極間隔物108及閘極堆疊106的頂表面上方,並且並未將介電蓋109橫向地設置於兩個相鄰的閘極間隔物108之間。介電蓋109及S/D接觸件110具有實質上共平面的頂表面,並且將介電層112設置於S/D接觸件110及介電蓋109的頂表面上。
第15A圖及第15B圖繪示出裝置100的實施例,其中類似於第12A圖及第12B圖所示的實施例,在裝置100中省略了介電層112及114。兩個實施例之間的區別在於介電蓋109的構造。在第12A圖及第12B圖所示的實施例中,僅將介電蓋109橫向地設置於鄰近的閘極間隔物108之間。在如第15A圖及第15B圖所示的實施例中,介電蓋109不僅橫向地設置於鄰近的閘極間隔物108之間,而且還設置於閘極間隔物108上方。介電蓋109及111具有實質上共平面的頂表面,並且FeFETs 130'直接設置於介電蓋109及111的頂表面上。
第16A圖及第16B圖繪示出裝置100的實施例,其中裝置100更包括位於介電蓋109及介電層112之間的介電層113。僅將介電蓋109橫向地設置於鄰近的閘極間隔物108之間。介電層113及S/D接觸件110具有實質上共平面的頂表面,並且將介電層112設置於介電層113及S/D接觸件110的頂表面上。在各種實施例中,介電層113可包括例如下列的材料:La2 O3 、Al2 O3 、SiOCN、SiOC、SiCN、SiO2 、SiC、ZnO、TaO2 、ZrO2 、HfO2 、Si3 N4 、SiON、或其他(多個)合適的材料。
第17A圖及第17B圖繪示出裝置100的實施例,其中裝置100包括位於S/D接觸導孔118之外表面上的膠層152 ,但是於閘極導孔116之外表面上不包括膠層。
第18A圖及第18B圖繪示出裝置100的實施例,其中裝置100於閘極導孔116的外表面上包括膠層150 ,但是於S/D接觸導孔118的外表面上不包括膠層。
第19圖繪示出裝置100的實施例,其中一些S/D接觸導孔118直接連接至FeFETs 130'(第19圖左側),而另一些S/D接觸導孔118並未直接連接至FeFETs 130'(第19圖右側)。在各種實施例中,位於第19圖右側上的S/D接觸導孔118可藉由導電部件142連接至FeFET 130'的頂電極136'(如圖所示),或者並未連接至導電部件142及任何FeFET 130'。
第20圖繪示出裝置100的實施例,其中一些閘極導孔116直接連接至FeFETs 130'(第20圖左側),而另一些閘極導孔116並未直接連接至FeFETs 130'(第20圖右側)。在各種實施例中,位於第20圖右側上的閘極導孔116可藉由導電部件142(連接至FeFET 130'的頂電極136'如圖所示),或者並未連接至導電部件142及任何FeFET 130'。
應注意的是,可將裝置100之以上實施例中的特徵組合,以產生裝置100的變型(或其他實施例)。
儘管並非意圖限制,但是本揭露的實施例提供以下優點的一或多個。首先,可將FeFETs的覆蓋區製造的更小,允許更好的操作寬裕度。第二,將FeFETs與MOSFETs之電極(閘極、源極、及汲極)之間的佈線縮短及簡化。第三,由於FeFETs形成於中段產線(middle-end-of-line, MEOL)製程中且在BEOL製程之前,因此放寬了熱預算,可允許更高的溫度或更長的製程時間。
在一個例示性面向,本揭露針對一種方法,包括提供提供結構,具有基板、多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件位於基板上方、多個S/D接觸件位於該些S/D部件上方、一或多個介電層位於該些閘極堆疊及該些S/D接觸件上方、以及導孔結構穿過一或多個介電層並電性連接至該些閘極堆疊及該些S/D接觸件之一。方法更包括形成鐵電(ferroelectric, FE)堆疊於結構上方,其中FE堆疊包括FE層及位於FE層上方之頂電極層,其中FE堆疊直接接觸導孔結構;及圖案化FE堆疊,得到圖案化的FE堆疊,包括圖案化的FE部件及圖案化的頂電極於圖案化的FE部件上方。
在方法的實施例中, FE層包括下列之一:PZT (Pb(Zrx Ti1-x )O3 )、SBT (SrBi2 Ta2 O9 )、BLT ((Bi,La)4 Ti3 O12 )、BIT (Bi4 Ti3 O12 )、HfZrO2 、HfO2 及BFO (BiFeO3 )。在一實施例中,頂電極層包括下列之一:Ru、Pt、Ta、TaN、Ti、TiO2 、TiN、W、Ir、IrO2 、SrRuO3 及LaNiO3
在一實施例中,方法更包括形成第一介電層於圖案化的FE堆疊之側壁上。在進一步的實施例中,第一介電層包括下列之一:La2 O3 、Al2 O3 、SiOCN、SiOC、SiCN、SiO2 、SiC、ZnO、ZrN、ZrAlO、Ta2 O5 、ZrO2 、HfO2 、Si3 N4 、Y2 O3 、AlON、TaCN及SiON。
在另一實施例中,方法更包括沉積第一介電層於圖案化的FE堆疊上方;及形成導電結構,穿過第一介電層並直接接觸圖案化的頂電極。
在方法的實施例中,FE堆疊更包括底電極層於FE層下方,且其中圖案化的FE堆疊更包括圖案化的底電極於圖案化的FE部件下方。在方法的實施例中,導孔結構包括下列之一:Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、TaN、Ni及TiSiN。
在另一個例示性面向,本揭露針對一種方法,包括提供結構,具有基板、多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件位於基板上方、多個S/D接觸件位於該些S/D部件上方、一或多個介電層位於該些閘極堆疊及該些S/D接觸件上方、以及導孔結構穿過一或多個介電層並電性連接至該些閘極堆疊及該些S/D接觸件之一。方法更包括形成鐵電(ferroelectric, FE)堆疊於結構上方,其中FE堆疊包括底電極層、FE層、及頂電極層,FE層位於底電極層上方,頂電極層位於FE層上方,其中FE堆疊直接接觸導孔結構;及圖案化FE堆疊,得到圖案化的FE堆疊,包括圖案化的底電極、圖案化的FE部件、及圖案化的頂電極,圖案化的FE部件位於圖案化的底電極上方,圖案化的頂電極位於圖案化的FE部件上方;及形成介電襯層,圍繞圖案化的FE堆疊之側壁。
在方法的實施例中,FE層包括下列之一:PZT (Pb(Zrx Ti1-x )O3 )、SBT (SrBi2 Ta2 O9 )、BLT ((Bi,La)4 Ti3 O12 )、BIT (Bi4 Ti3 O12 )、HfZrO2 、HfO2 及BFO (BiFeO3 )。
在一實施例中,方法更包括形成第一介電層,包埋圖案化的FE堆疊;及形成導電結構,穿過第一介電層並直接接觸圖案化的頂電極。
在一些實施例中,一或多個介電層包括介電蓋直接位於該些閘極堆疊及該些S/D接觸件之一上。在一些實施例中,一或多個介電層包括接觸蝕刻停止層及層間介電層於接觸蝕刻停止層上方。在一些實施例中,一或多個介電層包括介電蓋、接觸蝕刻停止層、及層間介電層,介電蓋直接位於該些閘極堆疊及該些S/D接觸件之一上,接觸蝕刻停止層位於介電蓋上方,層間介電層位於該接觸蝕刻停止層上方。
在一些實施例中,FE堆疊的該圖案化,包括:使用光學微影形成蝕刻遮罩;及穿過蝕刻遮罩中的多個開口蝕刻頂電極層、FE層及底電極層。
在又一個例示性面向,本揭露針對一種裝置,包括:基板;多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件,位於基板上方;多個S/D接觸件,位於該些S/D部件上方;一或多個介電層,位於該些閘極堆疊及該些S/D接觸件上方;導孔結構,穿過一或多個介電層並電性接觸該些閘極堆疊及該些S/D接觸件之一;及鐵電(ferroelectric, FE)堆疊,位於導孔結構上方並直接接觸導孔結構,其中FE堆疊包括FE部件及位於FE部件上方之頂電極。
在裝置的一些實施例中,FE堆疊更包底電極於FE部件下方。在一些實施例中,FE部件直接接觸導孔結構。在一些實施例中,裝置更包括介電襯層,圍繞FE堆疊的側壁。在一些實施例中,裝置更包括第一介電層及導電部件,第一介電層包埋FE堆疊,導電部件穿過第一介電層並直接接觸頂電極。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不悖離本發明之精神及範圍下,做各式各樣的改變、取代及替換。
10:方法 12,14,16,18,20,22,24:操作 100:裝置 101:半導體裝置的一部分 102:基板 103:鰭片 104:S/D部件 105:隔離結構 106:閘極堆疊 106a:閘極介電層 106b:閘極電極層 107:側壁間隔物 108:閘極間隔物 109:介電蓋 110:S/D接觸件 111:介電蓋 112:介電層 114:介電層 116:閘極導孔 118:S/D接觸導孔 130:FE堆疊 130':FeFETs 132:底電極層 132':底電極 134:FE層 134':FE部件 136:頂電極層 136':頂電極 138:介電襯層 140:介電層 142:導電部件 150:膠層 152:膠層 w1:寬度 w2:寬度 w3:寬度 w4:寬度 t1:厚度 t2:厚度 t3:厚度 t4:厚度 L1:長度 L2:長度 L3:長度 d1:高度差 LA1:長度 LA2:長度 LA3:長度 TOL1:FeFET的左側 TOL2:FeFET的右側 TS1:側壁厚度 TS2:側壁厚度 TB1:底部厚度 TB2:底部厚度 θ1:角度 θ2:角度 θ3:角度 A—A:線 B—B:線
本揭露的各面向從以下詳細描述中配合附圖可最好地被理解。應強調的是,依據業界的標準做法,各種部件並未按照比例繪製且僅用於說明的目的。事實上,為了清楚討論,各種部件的尺寸可任意放大或縮小。 第1圖係根據本揭露的各方面,係用於形成具有與MOSFET裝置整合的鐵電FETs之半導體裝置的方法的流程圖。 第2A圖係根據第1圖之方法的實施例,繪示在製造的中間步驟中半導體裝置之一部分的俯視圖。第2B圖係根據一實施例,繪示出第2A圖中半導體裝置之一部分101的透視圖。 第2C圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19圖、及第20圖係根據一些實施例,繪示出沿著第2A圖及第2B圖中A—A線之半導體裝置的一部分的剖面圖。 第2D圖、第3B圖、第4B圖、第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、及第18B圖係根據一些實施例,繪示出沿著第2A圖及第2B圖中B—B線之半導體裝置的一部分的剖面圖。
100:裝置
102:基板
103:鰭片
104:S/D部件
106:閘極堆疊
108:閘極間隔物
109:介電蓋
110:S/D接觸件
111:介電蓋
112:介電層
114:介電層
116:閘極導孔
118:S/D接觸導孔
130':FeFETs
132':底電極
134':FE部件
136':頂電極

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 提供一結構,具有一基板、多個閘極堆疊及多個源極/汲極(source/drain, S/D)部件位於該基板上方、多個S/D接觸件位於該些S/D部件上方、一或多個介電層位於該些閘極堆疊及該些S/D接觸件上方、以及一導孔結構穿過該一或多個介電層並電性連接至該些閘極堆疊及該些S/D接觸件之一; 形成一鐵電(ferroelectric, FE)堆疊於該結構上方,其中該FE堆疊包括一FE層及位於該FE層上方之一頂電極層,其中該FE堆疊直接接觸該導孔結構;及 圖案化該FE堆疊,得到一圖案化的FE堆疊,包括一圖案化的FE部件及一圖案化的頂電極於該圖案化的FE部件上方。
TW110106280A 2020-02-27 2021-02-23 半導體裝置的形成方法 TW202139270A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982375P 2020-02-27 2020-02-27
US62/982,375 2020-02-27
US16/939,909 US11404570B2 (en) 2020-02-27 2020-07-27 Semiconductor devices with embedded ferroelectric field effect transistors
US16/939,909 2020-07-27

Publications (1)

Publication Number Publication Date
TW202139270A true TW202139270A (zh) 2021-10-16

Family

ID=76709431

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106280A TW202139270A (zh) 2020-02-27 2021-02-23 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US11799030B2 (zh)
CN (1) CN113113413A (zh)
TW (1) TW202139270A (zh)

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) * 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US20140175531A1 (en) * 2012-12-20 2014-06-26 United Microelectronics Corp. Non-volatile memory structure and manufacturing method thereof
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) * 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9337210B2 (en) * 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9768181B2 (en) * 2014-04-28 2017-09-19 Micron Technology, Inc. Ferroelectric memory and methods of forming the same
US9613954B2 (en) 2014-07-08 2017-04-04 International Business Machines Corporation Selective removal of semiconductor fins
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US20160064391A1 (en) * 2014-08-26 2016-03-03 Qualcomm Incorporated Dynamic random access memory cell including a ferroelectric capacitor
US9349866B2 (en) 2014-10-10 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9576801B2 (en) * 2014-12-01 2017-02-21 Qualcomm Incorporated High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US10483262B2 (en) * 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9455331B1 (en) 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US10164059B2 (en) 2015-09-04 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and fabricating method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
US10510873B2 (en) 2017-06-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20190008051A (ko) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 동작 방법
US10483378B2 (en) 2017-08-31 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial features confined by dielectric fins and spacers
KR102411185B1 (ko) * 2017-10-31 2022-06-21 에스케이하이닉스 주식회사 강유전성 메모리 소자 및 이의 제조 방법
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
EP3503199A1 (en) * 2017-12-22 2019-06-26 IMEC vzw A method for forming a ferroelectric field-effect transistor

Also Published As

Publication number Publication date
US20220352380A1 (en) 2022-11-03
US11799030B2 (en) 2023-10-24
CN113113413A (zh) 2021-07-13
US20240021726A1 (en) 2024-01-18

Similar Documents

Publication Publication Date Title
US11495465B2 (en) Method and structure for semiconductor device having gate spacer protection layer
TWI808130B (zh) 半導體裝置及其製造方法
TW202201697A (zh) 半導體結構
TWI815151B (zh) 半導體裝置及其形成方法
TWI777359B (zh) 半導體元件與其製造方法
US20220246740A1 (en) Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
TWI803995B (zh) 一種半導體裝置及其形成方法
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
TWI801864B (zh) 半導體裝置及其形成方法
US11152475B2 (en) Method for forming source/drain contacts utilizing an inhibitor
US11404570B2 (en) Semiconductor devices with embedded ferroelectric field effect transistors
US11799030B2 (en) Semiconductor devices with embedded ferroelectric field effect transistors
TWI835314B (zh) 半導體裝置及其製造方法
US20230065045A1 (en) Contact formation method and related structure
TWI780729B (zh) 半導體結構及其製造方法
US20220052167A1 (en) Method for Forming Source/Drain Contacts Utilizing an Inhibitor
TW202403852A (zh) 半導體結構及其製造方法
TW202405948A (zh) 半導體裝置結構及其形成方法
TW202240893A (zh) 半導體結構