KR101415237B1 - 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자 - Google Patents

그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자 Download PDF

Info

Publication number
KR101415237B1
KR101415237B1 KR1020120071213A KR20120071213A KR101415237B1 KR 101415237 B1 KR101415237 B1 KR 101415237B1 KR 1020120071213 A KR1020120071213 A KR 1020120071213A KR 20120071213 A KR20120071213 A KR 20120071213A KR 101415237 B1 KR101415237 B1 KR 101415237B1
Authority
KR
South Korea
Prior art keywords
graphene
forming
thin film
layer
chemical vapor
Prior art date
Application number
KR1020120071213A
Other languages
English (en)
Other versions
KR20140003218A (ko
Inventor
정종완
안효섭
Original Assignee
세종대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세종대학교산학협력단 filed Critical 세종대학교산학협력단
Priority to KR1020120071213A priority Critical patent/KR101415237B1/ko
Publication of KR20140003218A publication Critical patent/KR20140003218A/ko
Application granted granted Critical
Publication of KR101415237B1 publication Critical patent/KR101415237B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/24Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer not being coherent before laminating, e.g. made up from granular material sprinkled onto a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/24Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer not being coherent before laminating, e.g. made up from granular material sprinkled onto a substrate
    • B32B2037/246Vapour deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/044 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2313/00Elements other than metals
    • B32B2313/04Carbon

Abstract

그래핀의 적층 방법, 상기 적층 방법에 의하여 형성된 그래핀 적층체, 및 상기 그래핀 적층체를 포함하는 소자에 관한 것이다.

Description

그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자{METHOD FOR FORMING STACKED GRAPHENE, STACKED GRAPHENE THEREOF, AND DEVICES INCLUDING THE SAME}
본원은 그래핀의 적층 방법, 상기 적층 방법에 의하여 형성된 그래핀 적층체, 및 상기 그래핀 적층체를 포함하는 소자에 관한 것이다.
그래핀(graphene)은 탄소 원자들이 2 차원으로 결합되어 구성된 물질이며, 흑연과 달리 단층 내지 수 층으로 얇게 형성되어 있는 특징을 가진다. 이러한 그래핀은 유연하고 전기 전도도가 매우 높으며 투명하기 때문에, 투명하고 휘어지는 전극으로 사용하거나 전자 소자에서 전자 수송층과 같은 전자 전송 물질로 활용하려는 연구가 진행되고 있다.
그래핀은 특히 태양 전지 또는 광검출기와 같이 빛을 받아 이를 전기로 전환하는 광기전력 원리를 이용하는 전자 소자의 전자 수송층 및 투명 전극으로서 크게 주목 받고 있다. 전자 소자의 투명 전극으로는 ITO 등이 널리 사용되고 있으나, 주재료의 가격 상승 및 고갈 가능성으로 인하여 제조비용이 높아지고 있으며, 유연성이 없기 때문에 휘어지는 소자에 적용하기 곤란한 점이 있다.
현재 그래핀을 제조하는 방법에 관하여는 그 기술이 다양하게 개발되어 있다. 예를 들어, "그라펜 시트 및 그의 제조방법[대한민국공개특허 제2009-0043418호]" 등의 연구가 있었다. 그러나, 현재 알려진 그래핀 제조 기술에 의하면 그래핀은 촉매 금속으로 사용되는 금속의 종류에 따라 단층의 그래핀이 성장되거나 혹은 다층의 그래핀이 불규칙하게 성장되므로 그래핀의 층수를 자유로이 조절하지 못하는 한계가 있다.
본원은, 그래핀의 층수를 자유로이 조절하여 원하는 층수를 가지는 그래핀 적층체를 형성할 수 있는 그래핀의 적층 방법, 상기 그래핀의 적층 방법에 의하여 형성된 그래핀 적층체, 및 상기 그래핀 적층체를 포함하는 소자를 제공하고자 한다.
그러나, 본원이 해결하고자 하는 과제는 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본원의 제 1 측면은, 기재 상에 그래핀 층을 형성하는 단계, 상기 그래핀 층 상에 금속 박막을 형성하는 단계, 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계를 포함하고, 상기 금속 박막 상에 그래핀 층을 형성하는 단계에서 상기 금속 박막이 증발되는 것인, 그래핀의 적층 방법을 제공한다.
본원의 제 2 측면은, 본원의 제 1 측면의 방법에 의하여 형성된 그래핀 적층체를 제공한다.
본원의 제 3 측면은, 본원의 제 1 측면의 방법에 의하여 형성된 그래핀 적층체를 포함하는 소자를 제공한다.
본원에 의하면, 단일층의 그래핀을 원하는 층수만큼 적층할 수 있다. 또한, 종래의 다층 그래핀이 1 층에서 수 층 혹은 수십 층의 그래핀이 불규칙하게 적층되어 있는 것과 달리, 전체적으로 균일한 층수 및 균일한 두께를 가지는, 안정적으로 적층된 그래핀 적층체를 제조할 수 있다.
도 1은, 본원의 일 구현예에 따른 그래핀의 적층 방법을 설명하기 위한 순서도이다.
도 2a 내지 도 2d는, 본원의 일 구현예에 따른 그래핀의 적층 방법의 각 단계를 설명하기 위한 단면도이다.
도 3a 내지 도 3c는, 본원의 일 구현예에 따른 그래핀의 적층 방법의 각 단계를 설명하기 위한 단면도이다.
도 4 는 본원의 비교예에 따른 단층 그래핀의 TEM(투과전자현미경) 회절 패턴 분석 결과를 나타낸 이미지이다.
도 5는 본원의 일 구현예에 따른 적층된 그래핀의 TEM 회절 패턴 분석 결과를 나타낸 이미지이다.
도 6은 본원의 일 실시예에 따라 부분적으로 적층된 그래핀의 광학 이미지이다.
도 7a 및 도 7b는 각각 본원의 비교예에 따른 단층 그래핀 및 본원의 일 구현예에 따른 적층된 그래핀의 라만스펙트럼 분석 결과를 나타낸 것이다.
도 8은 본원의 일 구현예에 따른 적층된 그래핀의 투과도를 측정하여 나타낸 것이다.
아래에서는 첨부한 도면을 참조하여 본원이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본원의 실시예를 상세히 설명한다. 그러나 본원은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본원을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.
본원 명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.
본원 명세서에서 사용되는 정도의 용어 "약", "실질적으로" 등은 언급된 의미에 고유한 제조 및 물질 허용오차가 제시될 때 그 수치에서 또는 그 수치에 근접한 의미로서 사용되고, 본원의 이해를 돕기 위해 정확하거나 절대적인 수치가 언급된 개시 내용을 비양심적인 침해자가 부당하게 이용하는 것을 방지하기 위해 사용된다. 또한, 본원 명세서 전체에서, "~하는 단계" 또는 "~의 단계"는 "~를 위한 단계"를 의미하지 않는다.
본원 명세서 전체에서, 어떤 부재가 다른 부재 “상에” 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다.
본원 명세서 전체에서, 마쿠시 형식의 표현에 포함된 “이들의 조합”의 용어는 마쿠시 형식의 표현에 기재된 구성 요소들로 이루어지는 군에서 선택되는 하나 이상의 혼합 또는 조합을 의미하는 것으로서, 상기 구성 요소들로 이루어지는 군에서 선택되는 하나 이상을 포함하는 것을 의미한다.
본원의 제 1 측면은, 기재 상에 그래핀 층을 형성하는 단계, 상기 그래핀 층 상에 금속 박막을 형성하는 단계, 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계를 포함하고, 상기 금속 박막 상에 그래핀 층을 형성하는 단계에서 상기 금속 박막이 증발되는 것인, 그래핀의 적층 방법을 제공할 수 있다.
도 1은 본원의 제 1 측면에 따른 그래핀의 적층 방법을 설명하기 위한 순서도이고, 도 2a 내지 도 2d 및 도 3a 내지 도 3c는 각각 본원의 일 구현예에 따른 그래핀의 적층 방법을 설명하기 위한 단면도이다. 이하에서는, 도 1 내지 도 3c를 참조하여 본원의 구현예에 따른 그래핀의 적층 방법에 대하여 상세히 설명하나, 본원이 이에 제한되는 것은 아니다.
먼저, 기재(110) 상에 그래핀 층(150)을 형성한다(S100).
여기서, 상기 기재는, 예를 들어, 유리, 석영, 실리콘, 산소, 탄소펠트, 사파이어, 질화실리콘, 탄화실리콘, 산화실리콘, 티타늄 코팅기판, 세라믹, 금속, 또는 플라스틱을 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
그래핀은 빠른 전자이동도와 긴 평균 자유행로를 가진다. 상온에서 그래핀의 최대 전자이동도는 200,000 cm/Vs로 실리콘보다 100 배 내지 200 배 빨라 고성능 반도체 소재로 활용도가 매우 높다. 이는 그래핀의 경우 전자가 움직일 때 방해를 주는 산란 정도가 매우 작기 때문이며, 이로 인해 긴 평균 자유행로를 가지게 된다. 따라서 저항이 매우 낮은 구리보다도 35% 이상 낮은 저항값을 나타낸다.
본원의 일 구현예에 따르면, 상기 기재 상에 그래핀층을 형성하는 단계(S100)는, 상기 기재(110) 상에 금속 촉매층(130)을 형성하고(도 2a), 이어서 상기 금속 촉매층 상에 그래핀 층(150)을 형성하는 것(도 2b)을 포함할 수 있으나, 이에 제한되는 것은 아니다.
상기 금속 촉매층(130)은 그래핀의 성장을 용이하게 하기 위하여 형성되며, 상기 금속 촉매층의 표면에 그래핀이 성장될 수 있다. 본원의 일 구현예에 있어서, 상기 금속 촉매층은 Ni, Co, Fe, Pt, Au, Al, Cr, Cu, Mg, Mn, Mo, Rh, Si, Ta, Ti, W, U, V, Zr, Ge, 황동(brass), 청동(bronze), 백동, 스테인레스 스틸(stainless steel), 및 이들의 조합들로 이루어지는 군에서 선택되는 하나 이상의 금속 또는 합금을 포함할 수 있으나, 이에 제한되는 것은 아니다. 또한, 상기 금속 촉매층의 두께는 특별히 제한되지 않으며, 박막 또는 후막일 수 있다.
본원의 일 구현예에 있어서, 상기 금속 촉매층을 형성하는 단계는 원자층증착(atomic layer deposition; ALD), 스퍼터링(sputtering), 열증착(thermal evaporation), 전자빔 증착(e-beam evaporation), 분자빔 증착(molecular beam epitaxy; MBE), 펄스레이저증착(pulsed laser deposition; PLD), 화학기상증착(chemical vapour deposition; CVD), 졸-겔(Sol-Gel) 방법, 및 이들의 조합들로 이루어지는 군에서 선택되는 방법에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.
예를 들어, 상기 금속 촉매층(130) 상에 그래핀 층(150)을 형성하는 것(도 2b)은, 당업계에서 그래핀 성장을 위해 통상적으로 사용하는 방법을 특별히 제한 없이 사용하여 수행될 수 있으며, 본원의 일 구현예에 따르면, 화학기상증착(Chemical Vapor Deposition; CVD) 방법을 이용할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 화학기상증착 방법은 고온 화학기상증착(Rapid Thermal Chemical Vapor Deposition; RTCVD), 유도결합플라즈마 화학기상증착(Inductively Coupled Plasma-Chemical Vapor Deposition; ICP-CVD), 저압 화학기상증착(Low Pressure Chemical Vapor Deposition; LPCVD), 상압 화학기상증착(Atmospheric Pressure Chemical Vapor Deposition; APCVD), 금속 유기화학기상증착(Metal Organic Chemical Vapor Deposition; MOCVD), 플라즈마 화학기상증착(Plasma-enhanced chemical vapor deposition; PECVD), 및 이들의 조합들로 이루어지는 군에서 선택되는 방법을 포함할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, PMMA 등의 유기물을 상기 금속 촉매층 위에 코팅한 뒤, 수소를 포함하는 분위기에서 어닐링하여 그래핀을 성장시키는 방법을 포함할 수 있으나, 이에 제한되는 것은 아니다.
예를 들어, 상기 그래핀 층(150)은 상기 금속 촉매층(130) 상에서 탄소 소스를 포함하는 반응 가스 및 열을 제공하여 반응시킴으로써 형성되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 탄소 소스는, 일산화탄소, 이산화탄소, 메탄, 에탄, 에틸렌, 에탄올, 아세틸렌, 프로판, 부탄, 부타디엔, 펜탄, 펜텐, 사이클로펜타디엔, 헥산, 사이클로헥산, 벤젠, 톨루엔, 알코올, 및 이들의 조합들로 이루어지는 군에서 선택되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 탄소 소스를 기상으로 공급하면서 약 300℃ 내지 약 2000℃의 온도로 열처리하면 상기 탄소 소스에 존재하는 탄소 성분들이 결합하여 6각형의 판상 구조를 형성하면서 그래핀 층이 성장되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 열처리는 약 300℃ 내지 약 2000℃, 약 500℃ 내지 약 2000℃, 약 700℃ 내지 약 2000℃, 약 900℃ 내지 약 2000℃, 약 1200℃ 내지 약 2000℃, 약 1500℃ 내지 약 2000℃, 약 300℃ 내지 약 1500℃, 약 300℃ 내지 약 1200℃, 약 300℃ 내지 약 900℃, 또는, 약 300℃ 내지 약 500℃의 온도에서 수행되는 것일 수 있으나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 있어서, 상기 금속 촉매층 상에 그래핀 층을 형성하는 것(도 2b)은 상압, 저압, 또는 진공 하에서 수행될 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상압 조건 하에서 상기 그래핀 층을 성장시키는 공정을 수행하는 경우, 헬륨 등을 캐리어 가스로 사용함으로써 고온에서 무거운 아르곤과의 충돌에 의해 야기되는 그래핀의 손상을 최소화할 수 있으나, 이에 제한되는 것은 아니다. 또한, 상압 조건 하에서 상기 공정을 수행하는 경우, 저비용으로 간단한 공정에 의하여 대면적 그래핀을 제조할 수 있다는 장점이 있다. 예를 들어, 상기 공정이 저압 또는 진공 조건에서 수행되는 경우, 수소 가스를 분위기 가스로 사용하며, 온도를 올리면서 처리하여 주면 금속 촉매의 산화된 표면을 환원시키므로 고품질의 그래핀을 합성할 수 있다는 장점이 있으나, 이에 제한되는 것은 아니다.
예를 들어, 상기 금속 촉매층 상에 그래핀 층을 형성하는 것(도 2b)은 단일층의 그래핀을 형성하는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 단일층의 그래핀을 형성하는 것은 Cu(구리)를 포함하는 금속 촉매층을 이용하여 수행되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, Cu를 금속 촉매층으로 사용하여 그래핀 층을 형성할 경우, 상기 금속 촉매층 상에 단일층의 그래핀이 균일하게 형성될 수 있으나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 따르면, 상기 기재 상에 그래핀 층을 형성하는 단계(S100)는, 그래핀을 상기 기재 상에 전사하는 것(도 3a)을 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
상기 그래핀은 당업계에서 그래핀 성장을 위해 통상적으로 사용하는 방법을 특별히 제한 없이 사용하여 형성된 것일 수 있으며, 예를 들어, 상기 그래핀은 화학기상증착(CVD) 방법, 에피택시(epitaxy)법, 또는 기계적 박리법에 의하여 형성된 것일 수 있으나, 이에 제한되는 것은 아니다.
상기 그래핀 층의 전사는 당업계에서 그래핀 층의 전사를 위해 통상적으로 사용하는 방법을 제한 없이 사용하여 수행될 수 있다. 예를 들어, 상기 그래핀 층의 전사는 습식 전사 또는 건식 전사에 의하여 수행될 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 그래핀 층의 전사는 PDMS, PMMA, 또는 열 방출 테이프를 이용하거나, 롤(roll)을 이용하여 수행되는 것일 수 있으나, 이에 제한되는 것은 아니다.
예를 들어, 그래핀을 상기 기재 상에 전사하는 것은 단일층의 그래핀을 전사하는 것을 포함할 수 있으나, 이에 제한되는 것은 아니다.
다음으로, 상기 그래핀 층(150) 상에 금속 박막(170)을 형성한다(S200, 도 2c 및 도 3b).
상기 금속 박막(170)은 그래핀의 성장을 용이하게 하기 위하여 형성되며, 예를 들어, 상기 금속 박막은 Ni, Co, Fe, Pt, Au, Al, Cr, Cu, Mg, Mn, Mo, Rh, Si, Ta, Ti, W, U, V, Zr, Ge, 황동(brass), 청동(bronze), 백동, 스테인레스 스틸(stainless steel), 및 이들의 조합들로 이루어지는 군에서 선택되는 하나 이상의 금속 또는 합금을 포함할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 금속 박막은 그래핀의 성장에 있어서 상기 금속 촉매층과 동일한 또는 유사한 역할을 하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 있어서, 상기 금속 박막은 약 10 nm 내지 약 100 nm의 두께로 형성되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 금속 박막은 약 10 nm 내지 약 100 nm, 약 10 nm 내지 약 80 nm, 약 10 nm 내지 약 50 nm, 약 10 nm 내지 약 30 nm, 약 30 nm 내지 약 100 nm, 약 50 nm 내지 약 100 nm, 약 80 nm 내지 약 100 nm, 또는 약 40 nm 내지 약 60 nm의 두께로 형성되는 것일 수 있으나, 이에 제한되는 것은 아니다. 상기 금속 박막의 두께가 10 nm 미만인 경우, 상기 금속 박막 상에 그래핀이 정상적으로 성장되지 않으며, 상기 금속 박막의 두께가 100 nm 초과인 경우, 화학기상증착에 의한 그래핀 성장 과정에서 상기 금속 박막이 충분히 증발되어 사라지지 않는다.
본원의 일 구현예에 있어서, 상기 금속 박막을 형성하는 단계(S200)는 원자층증착(atomic layer deposition; ALD), 스퍼터링(sputtering), 열증착(thermal evaporation), 전자빔 증착(e-beam evaporation), 분자빔 증착(molecular beam epitaxy; MBE), 펄스레이저증착(pulsed laser deposition; PLD), 화학기상증착(chemical vapor deposition; CVD), 졸-겔(Sol-Gel) 방법, 및 이들의 조합들로 이루어지는 군에서 선택되는 방법에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.
이어서, 상기 금속 박막 상에 그래핀 층을 형성하며(S300, 도 2d 및 도 3c), 상기 금속 박막(170) 상에 그래핀 층을 형성하는 단계에서 상기 금속 박막이 증발된다.
본원의 일 구현예에 있어서, 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)는 상기 금속 박막을 촉매로 하여 상기 금속 박막 상에 그래핀 층을 형성하는 것일 수 있으나, 이에 제한되는 것은 아니다.
상기 금속 박막 상에 그래핀 층을 형성하는 단계는, 상기 금속 촉매층 상에 그래핀 층을 형성하는 것(도 2b)의 그래핀 층 형성 공정과 동일한 공정에 의하여 수행될 수 있다. 상기 단계에서, 그래핀 층 형성을 위해 설정되는 약 300℃ 내지 약 2000℃의 높은 열처리 온도에 의하여 상기 금속 박막이 증발된다. 이 때, 상기 금속 박막이 증발되는 동시에 상기 그래핀 층이 형성되므로, 새로이 형성되는 상기 그래핀 층은 금속 촉매층 상에 형성되어 있는 그래핀 층과 접촉하여, 그래핀 적층체(190)을 형성하게 된다.
예를 들어, 상기 열처리 온도는 약 300℃ 내지 약 2000℃, 약 500℃ 내지 약 2000℃, 약 800℃ 내지 약 2000℃, 약 1000℃ 내지 약 2000℃, 약 1500℃ 내지 약 2000℃, 약 300℃ 내지 약 1500℃, 약 300℃ 내지 약 1000℃, 약 300℃ 내지 약 800℃, 약 800℃ 내지 약 1300℃, 또는, 약 800℃ 내지 약 1000℃의 온도범위에 포함된 것일 수 있으나, 이에 제한되는 것은 아니다.
예를 들어, 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)는 화학기상증착 방법에 의하여 수행되는 것일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 화학기상증착 방법은 고온 화학기상증착(Rapid Thermal Chemical Vapor Deposition; RTCVD), 유도결합플라즈마 화학기상증착(Inductively Coupled Plasma-Chemical Vapor Deposition; ICP-CVD), 저압 화학기상증착(Low Pressure Chemical Vapor Deposition; LPCVD), 상압 화학기상증착(Atmospheric Pressure Chemical Vapor Deposition; APCVD), 금속 유기화학기상증착(Metal Organic Chemical Vapor Deposition; MOCVD), 플라즈마 화학기상증착(Plasma-enhanced chemical vapor deposition; PECVD), 및 이들의 조합들로 이루어지는 군에서 선택되는 방법을 포함할 수 있으나, 이에 제한되는 것은 아니다.
예를 들어, 상기 기재 상에 그래핀 층을 형성하는 단계(S100)와 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)는 모두 단일층의 그래핀을 형성하는 단계일 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 단일층의 그래핀을 형성하는 단계는 Cu를 포함하는 금속 촉매층 또는 금속 박막을 이용하여 수행되는 것일 수 있으나, 이에 제한되는 것은 아니다. Cu를 금속 촉매층 또는 금속 박막에 포함하여 그래핀 층을 형성할 경우, 상기 금속 촉매층 또는 금속 박막 상에 단일층의 그래핀이 균일하게 형성될 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 그래핀 적층체(190)는 AB 적층(AB stacking)에 의하여 안정적으로 겹쳐 균일하게 적층된 그래핀을 포함할 수 있으나, 이에 제한되는 것은 아니다.
본원의 일 구현예에 있어서, 상기 그래핀 층 상에 금속 박막을 형성하는 단계(S200) 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)를 서로 교대로 2 회 이상 수행하여 그래핀을 적층할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 그래핀 층 상에 금속 박막을 형성하는 단계(S200) 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)를 서로 교대로 수 회 내지 수십 회 이상 수행하여 그래핀을 적층할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 상기 그래핀 층 상에 금속 박막을 형성하는 단계(S200) 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계(S300)를 서로 교대로 2 회 이상 수행하여 적층되는 그래핀은 단일층의 그래핀이 수 층, 수십 층, 내지 수백 층 이상 적층된 것일 수 있으나, 이에 제한되는 것은 아니다.
본원의 제 2 측면은, 본원의 제 1 측면에 따른 그래핀의 적층 방법에 의하여 형성된 그래핀 적층체를 제공할 수 있다. 상기 그래핀 적층체는, 예를 들어, 그래핀이 AB 적층(AB stacking)에 의하여 안정적으로 겹쳐 적층체를 형성한 것일 수 있으나, 이에 제한되는 것은 아니다.
본원의 제 3 측면은, 본원의 제 2 측면에 따른 그래핀 적층체를 포함하는 소자를 제공할 수 있다. 상기 그래핀 적층체를 이용하여, 그래핀 적층체를 포함하는 소자, 구체적으로는 박막 트랜지스터, 메모리 소자, 투명전극, 광 검출기, 반도체 소자, 또는 발광 다이오드 등을 제조할 수 있으나, 이에 제한되는 것은 아니다.
이하 실시예를 통하여 본 발명을 더욱 상세하게 설명하고자 하나, 하기의 실시예는 단지 설명의 목적을 위한 것이며 본원의 범위를 한정하고자 하는 것은 아니다.
[ 실시예 ]
기재 상에 그래핀 층 형성
구리 호일을 수소가스 분위기(10 sccm) 하의 950℃의 온도 조건에서 30 분간 어닐링한 뒤, 메탄(CH4)과 수소가스가 200 sccm 대 10 sccm로 존재하는 분위기 하에서 화학기상증착 공정에 의하여 30 분간 상기 구리 호일 상에 그래핀을 성장시켜 그래핀 층을 형성하였다.
상기 성장된 그래핀을 PMMA를 이용하여 SiO2 기재 상에 직접 전사하여 그래핀 층을 형성하였다.
화학기상증착 공정에 의한 그래핀의 적층
상기 그래핀 층 상에 열증착 방법을 이용하여 50 nm 두께의 구리박막을 증착시켰다.
이후, 950℃에서 메탄과 수소가스가 200 sccm 대 10 sccm으로 존재하는 분위기 하에서 화학기상증착 공정에 의하여 어닐링 단계 없이 상기 구리 박막 상에 그래핀 층을 성장시켰다. 이 때, 상기 구리 박막은 화학기상증착 공정 중에 적용되는 고온 열처리에 의하여 증발되고, 기존의 그래핀 층과 새로이 성장된 그래핀 층이 접촉하여 적층되었다.
적층된 그래핀의 회절패턴 분석
본 실시예에서는 투과전자현미경(TEM)을 이용하여 단층 그래핀과 적층된 그래핀의 회절 패턴을 분석하였다. 도 4의 상단 이미지 및 도 5의 상단 이미지는 각각 단층 그래핀과 2 층으로 적층된 그래핀의 회절 패턴을 나타낸 것이다. 또한, 도 4의 하단 그래프 및 도 5의 하단 그래프는 각각 단층 그래핀과 2 층으로 적층된 그래핀의 각 회절 점(도 4 및 도 5 각각의 상단 이미지에서 화살표 사이에 표시)에서의 회절 강도를 나타낸 것이다. 도 4 및 도 5 각각의 상단 이미지에서 화살표 사이에 표시된 4 개의 점의 회절 강도를 측정하여 적층 유무를 판단할 수 있는데, 단층 그래핀의 경우 가운데 두 개의 회절점의 회절강도가 더 높게 나타나지만, 2 층으로 적층된 그래핀의 경우에는 바깥쪽 두 개의 회절점의 회절 강도가 더욱 높게 나타났다. 이에 따라, 상기 적층된 그래핀의 성장은 AB 적층(AB stacking)에 의하여 성장되었음을 확인하였다.
그래핀의 부분적 적층 확인
본 실시예에서는 그래핀의 일부에만 추가적으로 그래핀을 적층한 것을 관찰하였다. 도 6은 섀도마스크를 이용하여 그래핀의 특정 부위에만 구리 박막을 증착한 후 상기 구리 박막 상에 그래핀을 형성시킨 구조의 광학 현미경 이미지이다. 도 6에서 적층된 그래핀 부분이 단층 그래핀 부분에 비하여 진한 색을 띠는 것으로 보아, 부분적인 금속 증착을 통하여 선택적으로 특정 부위에만 그래핀을 적층시킬 수 있음을 확인하였다.
적층된 그래핀의 라만스펙트럼 분석
본 실시예에서는 적층된 그래핀을 라만 분광기를 이용하여 라만스펙트럼 분석을 수행하였다. 도 7a와 도 7b는 각각 단층 그래핀과 2 층으로 적층된 그래핀의 라만스펙트럼 분석 결과이다. 514 nm의 라만 분광기를 이용하여 측정하였으며, 도 7a 와 도 7b 각각의 상단 그래프는 각각 동일한 샘플에서 임의로 3 개의 포인트를 라만스펙트럼 분석한 결과를 나타낸 것이다. 도 7a와 도 7b의 하단의 표는 라만스펙트럼 분석 결과를 Lorentz 피팅(fitting)하여 얻은 결과 값을 나타낸 것이다.
그래핀을 라만 스펙트럼 분석시, 그래핀의 층수가 올라갈수록 2D/G ratio가 감소하고 2D FWHM이 증가하는 현상이 나타난다. 본 실시예에서는 도 7b에서 나타난 결과가 도 7a에서 나타난 결과에 비하여 2D/G ratio가 감소하였고 2D FWHM이 증가한 것으로 보아 도 8b의 그래핀이 적층된 그래핀임을 확인하였다.
그래핀의 투과도 측정
본 실시예에서는 적층된 그래핀의 투과도를 측정하였다. 도 8의 상단 그래프는 UV-가시광 스펙트럼 분석(UV-visible spectroscopy)를 이용하여 단층 그래핀과 2 층으로 적층된 그래핀의 투과도를 측정한 그래프이며, 하단의 표는 상기 측정 결과를 수치화하여 나타낸 것이다. 그래핀 한 층의 투과도는 약 97.7%로 알려져 있으므로, 그래핀 한 층당 약 2.3%의 빛이 흡수되는 것이다. 상기 측정 결과에 따르면, 단층 그래핀의 투과도는 약 97.9%, 2 층으로 적층된 그래핀의 투과도는 약 95.9%로 나타난 바, 적층된 그래핀이 2 층으로 적층된 것임을 확인하였다.
전술한 본원의 설명은 예시를 위한 것이며, 본원이 속하는 기술분야의 통상의 지식을 가진 자는 본원의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성요소들도 결합된 형태로 실시될 수도 있다.
본원의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위, 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본원의 범위에 포함되는 것으로 해석되어야 한다.
110: 기재
130: 금속 촉매층
150: 그래핀 층
170: 금속 박막
190: 그래핀 적층체

Claims (13)

  1. 기재 상에 그래핀 층을 형성하는 단계;
    상기 그래핀 층 상에 금속 박막을 형성하는 단계; 및
    상기 금속 박막 상에 그래핀 층을 형성하는 단계
    를 포함하고,
    상기 금속 박막 상에 그래핀 층을 형성하는 단계에서 상기 금속 박막이 증발되어 상기 그래핀 층들이 서로 접촉하여 적층되는 것이고,
    상기 금속 박막은 원자층증착(atomic layer deposition; ALD), 스퍼터링(sputtering), 열증착(thermal evaporation), 전자빔 증착(e-beam evaporation), 분자빔 증착(molecular beam epitaxy; MBE), 펄스레이저증착(pulsed laser deposition; PLD), 화학기상증착(chemical vapour deposition; CVD), 졸겔(Sol-Gel) 방법, 및 이들의 조합들로 이루어지는 군에서 선택되는 방법에 의해 형성되는 것이며,
    상기 금속 박막의 두께는 10 nm 내지 80 nm 인 것인,
    그래핀의 적층 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 금속 박막은 Ni, Co, Fe, Pt, Au, Al, Cr, Cu, Mg, Mn, Mo, Rh, Si, Ta, Ti, W, U, V, Zr, Ge, 황동(brass), 청동(bronze), 백동, 스테인레스 스틸(stainless steel), 및 이들의 조합들로 이루어지는 군에서 선택되는 하나 이상의 금속 또는 합금을 포함하는 것인, 그래핀의 적층 방법.
  4. 제 1 항에 있어서,
    상기 기재 상에 그래핀 층을 형성하는 단계는,
    상기 기재 상에 금속 촉매층을 형성하고, 이어서 상기 금속 촉매층 상에 그래핀 층을 형성하는 것을 포함하는 것인, 그래핀의 적층 방법.
  5. 제 4 항에 있어서,
    상기 금속 촉매층은 원자층증착(atomic layer deposition; ALD), 스퍼터링(sputtering), 열증착(thermal evaporation), 전자빔 증착(e-beam evaporation), 분자빔 증착(molecular beam epitaxy; MBE), 펄스레이저증착(pulsed laser deposition; PLD), 화학기상증착(chemical vapour deposition; CVD), 졸겔(Sol-Gel) 방법, 및 이들의 조합들로 이루어지는 군에서 선택되는 방법에 의해 형성되는 것인, 그래핀의 적층 방법.
  6. 제 4 항에 있어서,
    상기 금속 촉매층은 Ni, Co, Fe, Pt, Au, Al, Cr, Cu, Mg, Mn, Mo, Rh, Si, Ta, Ti, W, U, V, Zr, Ge, 황동(brass), 청동(bronze), 백동, 스테인레스 스틸(stainless steel), 및 이들의 조합들로 이루어지는 군에서 선택되는 하나 이상의 금속 또는 합금을 포함하는 것인, 그래핀의 적층 방법.
  7. 제 1 항에 있어서,
    상기 기재 상에 그래핀 층을 형성하는 단계는, 상기 그래핀을 상기 기재 상에 전사하는 것을 포함하는 것인, 그래핀의 적층 방법.
  8. 제 1 항에 있어서,
    상기 그래핀 층 상에 금속 박막을 형성하는 단계 및 상기 금속 박막 상에 그래핀 층을 형성하는 단계를 서로 교대로 2 회 이상 수행하는 것을 포함하는, 그래핀의 적층 방법.
  9. 삭제
  10. 제 1 항에 있어서,
    상기 금속 박막 상에 그래핀 층을 형성하는 단계는 화학기상증착(chemical vapor deposition; CVD) 방법에 의해 수행되는 것인, 그래핀의 적층 방법.
  11. 제 10 항에 있어서,
    상기 화학기상증착 방법은 고온 화학기상증착(Rapid Thermal Chemical Vapor Deposition; RTCVD), 유도결합플라즈마 화학기상증착(Inductively Coupled Plasma-Chemical Vapor Deposition; ICP-CVD), 저압 화학기상증착(Low Pressure Chemical Vapor Deposition; LPCVD), 상압 화학기상증착(Atmospheric Pressure Chemical Vapor Deposition; APCVD), 금속 유기화학기상증착(Metal Organic Chemical Vapor Deposition; MOCVD), 플라즈마 화학기상증착(Plasma-enhanced chemical vapor deposition; PECVD), 및 이들의 조합들로 이루어지는 군에서 선택되는 방법을 포함하는 것인, 그래핀의 적층 방법.
  12. 제 10 항에 있어서,
    상기 화학기상증착 방법은 300℃ 내지 2000℃의 온도에서 수행되는 것인, 그래핀의 적층 방법.
  13. 제 1 항에 있어서,
    상기 그래핀 층은 상압, 저압, 또는 진공 하에 형성되는 것인, 그래핀의 적층 방법.
KR1020120071213A 2012-06-29 2012-06-29 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자 KR101415237B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120071213A KR101415237B1 (ko) 2012-06-29 2012-06-29 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120071213A KR101415237B1 (ko) 2012-06-29 2012-06-29 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자

Publications (2)

Publication Number Publication Date
KR20140003218A KR20140003218A (ko) 2014-01-09
KR101415237B1 true KR101415237B1 (ko) 2014-07-04

Family

ID=50139876

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120071213A KR101415237B1 (ko) 2012-06-29 2012-06-29 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자

Country Status (1)

Country Link
KR (1) KR101415237B1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6504673B2 (ja) * 2014-02-04 2019-04-24 ナショナル ユニバーシティ オブ シンガポール 金属結晶質基板上での、パルスレーザーを用いた大面積のグラフェンの合成方法
CN103956354A (zh) * 2014-05-09 2014-07-30 浙江大学 以石墨烯为金属化层和扩散势垒层的互连线及其制备方法
KR101629697B1 (ko) * 2014-10-14 2016-06-13 한국화학연구원 그래핀 적층 구조체의 제조방법 및 이로 제조된 그래핀 적층 구조체
KR102386840B1 (ko) 2015-02-24 2022-04-14 삼성전자주식회사 금속과 그래핀층 사이에 절연층을 층간 삽입하는 방법 및 상기 방법을 이용한 반도체 소자 제조 방법
CN105624747B (zh) * 2015-12-29 2017-10-13 东莞市莞信企业管理咨询有限公司 一种铜/石墨烯复合多层散热膜
US11124870B2 (en) 2017-06-01 2021-09-21 Kuk-Il Graphene Co., Ltd. Transfer-free method for producing graphene thin film
KR101877500B1 (ko) * 2017-06-01 2018-07-11 충남대학교산학협력단 대면적 그래핀 박막의 in-situ 제조방법
KR102019206B1 (ko) 2017-07-20 2019-11-04 한국과학기술연구원 도파관의 길이 방향을 따라 직접 그래핀을 합성하는 방법, 그 방법을 이용하여 형성된 그래핀을 포함하는 광학 소자
CN108790368B (zh) * 2018-08-30 2020-10-02 兰州交通大学 一种高速列车igbt封装用石墨烯/金属复合材料的制备方法
CN111118326A (zh) * 2020-02-24 2020-05-08 江苏新奥碳纳米材料应用技术研究院有限公司 石墨烯覆铝/铝基散热材料及其制备方法
CN115674803B (zh) * 2022-10-27 2024-02-23 常州富烯科技股份有限公司 基片、石墨烯金属复合散热材料、制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490112B1 (ko) 2001-09-10 2005-05-17 캐논 가부시끼가이샤 파이버의 제조 방법 및 그 파이버를 이용한 전자 방출소자와, 전자원 및 화상 표시 장치 각각의 제조 방법
KR20110006644A (ko) * 2009-07-14 2011-01-20 성균관대학교산학협력단 그래핀 시트의 제조 방법, 그래핀 적층체, 변형 수용성 그래핀 시트의 제조 방법, 변형 수용성 그래핀 시트, 및 이를 이용하는 소자
KR20120030046A (ko) * 2009-05-26 2012-03-27 가부시키가이샤 인큐베이션 얼라이언스 탄소 재료 및 그 제조 방법
KR20120119789A (ko) * 2011-04-22 2012-10-31 삼성전자주식회사 그래핀 다중층의 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490112B1 (ko) 2001-09-10 2005-05-17 캐논 가부시끼가이샤 파이버의 제조 방법 및 그 파이버를 이용한 전자 방출소자와, 전자원 및 화상 표시 장치 각각의 제조 방법
KR20120030046A (ko) * 2009-05-26 2012-03-27 가부시키가이샤 인큐베이션 얼라이언스 탄소 재료 및 그 제조 방법
KR20110006644A (ko) * 2009-07-14 2011-01-20 성균관대학교산학협력단 그래핀 시트의 제조 방법, 그래핀 적층체, 변형 수용성 그래핀 시트의 제조 방법, 변형 수용성 그래핀 시트, 및 이를 이용하는 소자
KR20120119789A (ko) * 2011-04-22 2012-10-31 삼성전자주식회사 그래핀 다중층의 제조방법

Also Published As

Publication number Publication date
KR20140003218A (ko) 2014-01-09

Similar Documents

Publication Publication Date Title
KR101415237B1 (ko) 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자
US11407637B2 (en) Direct graphene growing method
US11124870B2 (en) Transfer-free method for producing graphene thin film
KR102026736B1 (ko) 이종 적층 구조의 절연시트, 그의 제조방법 및 이를 구비하는 전기소자
JP5105028B2 (ja) グラフェンを含む導電性薄膜および透明導電膜
US8637118B2 (en) Method of production of graphene
US8697230B2 (en) Graphene sheet and method for producing the same
US9187824B2 (en) Rapid synthesis of graphene and formation of graphene structures
CN106256762B (zh) 多层石墨烯的制备方法
Uchida et al. Epitaxial chemical vapour deposition growth of monolayer hexagonal boron nitride on a Cu (111)/sapphire substrate
JP5885198B2 (ja) グラフェン薄膜の製造方法及びグラフェン薄膜
JP2016520032A (ja) 電荷キャリア移動度が非常に高いグラフェン及びその製造方法
JP2013530124A (ja) グラフェンの低温製造方法、及びこれを利用したグラフェンの直接転写方法及びグラフェンシート
JP2011051801A (ja) グラフェンフィルム製造方法
KR20140114199A (ko) 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
US20150167148A1 (en) Method for Synthesis of Uniform Bi-Layer and Few-Layer Hexagonal Boron Nitride Dielectric Films
CN102995119A (zh) 大尺寸的六角形双层石墨烯单晶畴及其制备方法
US20200266061A1 (en) Crystallographically-oriented Metallic Films with Two-dimensional Crystal Layers
KR101772011B1 (ko) 그래핀 적층 패턴 형성 방법
KR101629697B1 (ko) 그래핀 적층 구조체의 제조방법 및 이로 제조된 그래핀 적층 구조체
Ramesh et al. Influence of surface nitridation and an AlN buffer layer on the growth of GaN nanostructures on a flexible Ti metal foil using laser molecular beam epitaxy
KR20180011662A (ko) 그래핀계 배리어 필름의 제조 방법
KR20150130256A (ko) 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
TWI806193B (zh) 藉由電漿輔助化學氣相沉積形成石墨烯在可撓性基板上的方法及裝置
KR102274206B1 (ko) 이중층 그래핀의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180525

Year of fee payment: 5