KR101160100B1 - 동심 근접 처리 헤드 - Google Patents

동심 근접 처리 헤드 Download PDF

Info

Publication number
KR101160100B1
KR101160100B1 KR1020050058852A KR20050058852A KR101160100B1 KR 101160100 B1 KR101160100 B1 KR 101160100B1 KR 1020050058852 A KR1020050058852 A KR 1020050058852A KR 20050058852 A KR20050058852 A KR 20050058852A KR 101160100 B1 KR101160100 B1 KR 101160100B1
Authority
KR
South Korea
Prior art keywords
fluid
meniscus
wafer
proximity head
inlet
Prior art date
Application number
KR1020050058852A
Other languages
English (en)
Other versions
KR20060049724A (ko
Inventor
마이클 지 알 스미스
마이클 라브킨
로버트 제이 오도넬
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060049724A publication Critical patent/KR20060049724A/ko
Application granted granted Critical
Publication of KR101160100B1 publication Critical patent/KR101160100B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Weting (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)

Abstract

다양한 실시형태 중 하나의 실시형태에서는, 제 1 유체 메니스커스 및 이 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성하는 단계를 포함하며, 제 1 유체 메니스커스 및 제 2 유체 메니스커스가 기판 표면 상에 생성되는 기판 처리 방법이 개시된다.
Figure R1020050058852
메니스커스, 웨이퍼 처리

Description

동심 근접 처리 헤드{CONCENTRIC PROXIMITY PROCESSING HEAD}
도 1a는 SRD (spin rinse drying) 건조 처리 동안 웨이퍼 상에서 세정 유체의 이동을 도시하는 도면.
도 1b는 예시적인 웨이퍼 건조 처리를 도시하는 도면.
도 2는 본 발명의 일 실시형태에 따른 웨이퍼 처리 시스템을 도시하는 도면.
도 3은 본 발명의 일 실시형태에 따라 웨이퍼 처리 공정을 수행하는 근접 헤드를 도시하는 도면.
도 4a는 본 발명의 일 실시형태에 따라 근접 헤드에 의해 실시될 수도 있는 웨이퍼 처리 공정을 도시하는 도면.
도 4b는 본 발명의 일 실시형태에 따라 듀얼 웨이퍼 표면 처리 시스템에서 사용되는 예시적인 근접 헤드의 측면도.
도 5a는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드를 도시하는 도면.
도 5b는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드의 단면도.
도 6a는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드를 도시하는 도면.
도 6b는 본 발명의 일 실시형태에 따른 근접 헤드의 처리 표면을 도시하는 도면.
도 6c는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드의 처리 표면의 확대도.
도 6d는 본 발명의 일 실시형태에 따라 다중-메니스커스 근접 헤드를 형성하는 본체에 부착되는 퍼실러티 판 (facility plate) 을 도시하는 도면.
도 6e는 본 발명의 일 실시형태에 따른 근접 헤드의 단면도.
도 7은 본 발명의 일 실시형태에 따른 예시적인 웨이퍼 처리 공정에서의 다중-메니스커스 근접 헤드의 단면도.
도 8a는 본 발명의 일 실시형태에 따른 소수성 배리어를 처리하는데 사용되는 다중-메니스커스 근접 헤드의 단면도.
도 8b는 본 발명의 일 실시형태에 따른 친수성 웨이퍼 표면 상에서 동작하는 다중-메니스커스 근접 헤드의 확대도.
도 8c는 본 발명의 일 실시형태에 따른 친수성 웨이퍼 표면 상에서 동작하는 다중-메니스커스 근접 헤드의 확대도.
도 9는 본 발명의 일 실시형태에 따른 정사각형 모양의 메니스커스를 포함하는 다중-메니스커스 근접 헤드를 도시하는 도면.
도 10은 본 발명의 일 실시형태에 따른 직사각형 유체 메니스커스를 가진 다중-메니스커스 근접 헤드를 도시하는 도면.
*도면의 주요부분에 대한 부호의 설명*
100 : 웨이퍼 처리 시스템 102a, 102b : 롤러
104a, 104b : 암 106a, 106b : 근접 헤드
108 : 웨이퍼 302, 306 : 입구
304 : 출구 116 : 메니스커스
118 : 인터페이스 402 : 제 1 유체 메니스커스 영역
404 : 제 2 유체 메니스커스 영역 452 : 돌출 영역
454 : 퍼실러티 판
본 발명은 반도체 웨이퍼 처리에 관한 것이고, 더 상세하게는, 오염을 감소시키고 웨이퍼 처리 비용을 감소시키면서, 유체를 웨이퍼 표면에 더 효과적으로 도포하고 제거하는 장치 및 기술에 관한 것이다.
반도체칩 제조 처리에서는, 세정 및 건조와 같은 공정을 사용하여 웨이퍼를 처리할 필요가 있다는 것이 공지되어 있다. 이러한 타입의 공정들 각각에서, 웨이퍼 공정 처리를 위해 유체를 효과적으로 도포하고 제거할 필요가 있다.
예를 들어, 웨이퍼 표면 상에 불필요한 잔여물을 남겨두는 제조 공정이 수행된 경우, 웨이퍼 세정이 수행되어야할 수도 있다. 이러한 제조 공정의 예에는 플라즈마 에칭 (즉, 텅스텐 에치 백 (WEB)) 및 화학적 기계적 연마 (CMP) 를 포함한다. CMP에서는, 웨이퍼 표면을 롤링 컨베이어 벨트에 대해 푸시하는 홀더에 웨이퍼가 배치된다. 이 컨베이어 벨트는, 연마를 발생시키는 연마재 및 화학물질로 구성된 슬러리 (slurry) 를 사용한다. 불행하게도, 이 처리는 웨이퍼 표면에 슬러리 입자 및 잔여물의 축적물을 남기곤 한다. 불필요한 잔여물 및 입자들이 웨이퍼 상에 남겨지면, 특히 웨이퍼 표면 상의 스크래치 및 금속 피쳐 (feature) 사이에서의 부적절한 상호작용과 같은 결함을 발생시킬 수도 있다. 몇몇 경우에, 이러한 결함들은 웨이퍼 상의 디바이스들을 작동불가능하게 만들 수도 있다. 작동불가능한 디바이스를 가진 웨이퍼들을 폐기하는 과도한 비용을 방지하기 위해, 불필요한 잔여물을 남기는 제조 공정 후에, 적절하고 효과적으로 웨이퍼를 세정할 필요가 있다.
웨이퍼를 습식 세정한 후, 물 또는 세정 유체 잔류물이 웨이퍼 상에 잔여물을 남기는 것을 방지하기 위해 웨이퍼를 효과적으로 건조시켜야 한다. 물방울 (droplet) 이 형성될 때 일반적으로 발생하는 것과 같이, 웨이퍼 표면 상의 세정 유체가 증발될 수 있으면, 세정 유체 내에 이미 용해되어 있는 잔여물 또는 오염물질들은 증발 후에 웨이퍼 표면 상에 남겨질 것이다 (즉, 물자국을 형성한다). 증발이 발생하는 것을 방지하기 위해, 세정 유체는 웨이퍼 표면 상에 물방울을 형성하지 않고 가능한 빨리 제거되어야 한다. 이를 달성하기 위한 시도로, 스핀 건조법 (spin drying), IPA (isoprophyl alcohol) 또는 마랑고니 건조법 (Marangoni drying) 과 같은 다양한 건조 기술들이 이용된다. 이러한 건조 기술들 모두는, 적절하게 유지되면 물방울을 형성하지 않고 웨이퍼 표면을 건조시키는, 웨이퍼 표면 상에 몇몇 형태의 이동 액체/가스 인터페이스를 이용한다. 불행하게도, 상술한 건조법들 모두에 흔히 발생하듯이, 이동 액체/가스 인터페이스가 파괴되면, 물방울이 형성되고, 증발이 발생하여 웨이퍼 표면 상에 오염물질을 남기게 된다. 오늘날 사용되는 가장 주된 건조 기술은 스핀 린스 건조법 (SRD) 이다.
도 1a는 SRD 처리 동안의 웨이퍼 (10) 상에서 유체의 이동을 도시한다. 이 건조 처리에서, 습식 웨이퍼는 회전방향 (14) 으로 고속으로 회전한다. SRD 에서, 웨이퍼를 린싱하는데 사용되는 유체는, 원심력의 사용에 의해 유체 방향 화살표 (16) 로 도시된 바와 같이 웨이퍼의 중심으로부터 웨이퍼의 외곽으로 당겨지고, 최종적으로 웨이퍼로부터 제거된다. 유체가 웨이퍼로부터 제거되는 동안, 건조 처리가 진행함에 따라, 이동 액체/가스 인터페이스 (12) 가 웨이퍼의 중심에 생성되고 웨이퍼의 외부로 이동한다 (즉, 이동 액체/가스 인터페이스 (12) 에 의해 형성된 원이 점점 커진다). 도 1a의 예에서, 이동 액체/가스 인터페이스 (12) 에 의해 형성된 원의 내부 영역에는 유체가 없고, 이동 액체/가스 인터페이스 (12) 에 의해 형성된 원의 외부 영역에는 유체가 존재한다. 따라서, 건조 처리가 진행함에 따라, 이동 액체/가스 인터페이스 (12) 의 외부 영역 (습한 영역) 은 감소하는 반면, 이동 액체/가스 인터페이스 (12) 의 내부 영역 (건조 영역) 은 증가한다. 전술한 바와 같이, 이동 액체/가스 인터페이스 (12) 가 파괴되면, 물방울의 증발에 의하여 웨이퍼 상에 형성된 유체의 물방울 및 오염물질이 발생할 수도 있다. 이와 같이, 웨이퍼 표면의 오염물질을 제거하기 위하여 물방울의 형성 및 그 후의 증발이 제한되어야 하는 것은 필수적이다. 불행하게도, 현재의 건조법들은 이동 액체 인터페이스의 파괴를 방지함에 있어서 단지 부분적으로만 성공적이다.
또한, SRD 처리는 소수성 (疎水性) 의 웨이퍼 표면을 건조하는데 어려움이 있다. 소수성 웨이퍼 표면들은 물 및 수계 (수성) 세정 용액에 대해 반발하기 때문에 건조하기 어려울 수 있다. 따라서, 건조 처리가 계속되어 세정 유체가 웨이퍼 표면으로부터 제거될 때, 잔류하는 세정 유체 (수성) 는 웨이퍼 표면에 의해 반발할 것이다. 그 결과, 수성 세정 유체는 소수성 웨이퍼 표면과 접촉하는 최소한의 영역을 필요로 한다. 또한, 수성 세정 용액은 표면장력으로 인해 (즉, 수소결합의 결과로) 자체 점착하려 한다. 따라서, 소수성 상호작용 및 표면장력 때문에, 수성 세정 유체의 볼 (물방울) 은 제어되지 않은 방식으로 소수성 웨이퍼 표면 상에 형성된다. 이러한 물방울의 형성은 전술한 해로운 증발 및 오염을 유발한다. SRD의 한계는, 물방울에 작용하는 원심력이 가장 작은 웨이퍼의 중심에서 특히 심하다. 그 결과, SRD 처리가 현재 웨이퍼 건조의 가장 통상적인 방식임에도 불구하고, 이 방식은 특히 소수성 웨이퍼 표면 상에 사용되는 경우 웨이퍼 표면 상에 세정 유체 물방울이 형성되는 것을 감소시키기 어려울 수 있다. 웨이퍼의 임의의 부분은 상이한 소수성 특성을 가질 수도 있다.
도 1b 는 예시적인 웨이퍼 건조 처리 (18) 를 도시한다. 이 예에서, 웨이퍼 (10) 의 일부 (20) 는 친수성 영역을 가지고 일부 (22) 는 소수성 영역을 가진다. 일부 (20) 는 물을 끌어 당겨서 유체 (26) 가 이 영역에 고인다. 일부 (22) 는 소수성이어서 물에 대해 반발하고 따라서, 웨이퍼 (10) 의 그 일부 상에 물의 박막이 형성될 수 있다. 따라서, 웨이퍼의 소수성 부분 (10) 은 흔히 친수성 부분보다 더 빨리 건조된다. 이것이 불균일한 웨이퍼 건조를 발생시켜 오염 레벨을 증가시킬 수 있고, 따라서 웨이퍼 수율을 감소시킨다.
따라서, 웨이퍼 표면 상에 오염 침전물을 감소시키는 최적화된 유체의 조작 및 웨이퍼로의 도포을 가능하게 함으로써, 종래 기술을 회피하는 방법 및 장치가 필요하다. 오늘날 흔히 발생하는 이러한 침전물들이 만족할만한 웨이퍼의 수율을 감소시키고 반도체 웨이퍼 제조 비용을 증가시킨다.
일반적으로, 본 발명은 웨이퍼 오염을 현저하게 감소시키면서 다중 메니스커스로 웨이퍼 표면을 처리할 수 있는 기판 처리 장치를 제공하여 이러한 요구를 충족시킨다. 본 발명은 처리, 장치, 시스템, 디바이스, 또는 방법을 포함하는 다양한 방식으로 구현될 수 있다. 이하, 본 발명의 다양한 발명적 실시형태를 설명한다.
일 실시형태에서는, 제 1 유체 메니스커스 (meniscus) 및 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸고 있는 제 2 유체 메니스커스를 생성하는 단계를 포함하며, 제 1 유체 메니스커스 및 제 2 유체 메니스커스가 기판 표면 상에 생성되어 있는 기판을 처리하는 방법이 개시되어 있다.
또 다른 실시형태에서는, 기판 표면 상에 제 1 유체 메니스커스를 생성할 수 있으며, 기판 표면 상에 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 근접 헤드를 포함하는 기판을 처리하는 장치가 제공된다. 근접 헤드는 제 1 유체 메니스커스와 접촉시에 제 2 유체 메니스커스의 무결성을 실질적으로 유지할 수 있다.
또 다른 실시형태에서는, 제 1 유체 메니스커스를 생성할 수 있으며, 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 근접 헤드를 포함하는 기판을 처리하는 장치가 개시되어 있다. 근접 헤드는, 근접 헤드의 처리 표면에 정의되며 웨이퍼의 표면에 제 1 유체를 도포하도록 구성되는 하나 이상의 제 1 입구 및 근접 헤드의 처리 표면에 정의되며 웨이퍼의 표면으로부터 제 1 유체와 제 2 유체의 적어도 일부를 제거하도록 구성되는 하나 이상의 제 1 출구를 포함한다. 또한, 근접 헤드는, 근접 헤드의 처리 표면에 정의되며 웨이퍼의 표면에 제 2 유체를 도포하도록 구성되는 하나 이상의 제 2 입구 및 근접 헤드의 처리 표면에 정의되며 웨이퍼의 표면으로부터 적어도 일부의 제 2 유체를 제거하도록 구성되는 하나 이상의 제 2 출구를 포함한다. 하나 이상의 제 2 입구 및 하나 이상의 제 2 출구는 하나 이상의 제 1 출구 및 하나 이상의 제 1 입구를 적어도 부분적으로 둘러싸고 있다.
본 발명의 이점은 다양하다. 특히, 본 명세서에서 설명하는 장치 및 방법은 다중 메니스커스를 사용하여, 웨이퍼 표면 상에 잔류하는 불필요한 유체 및 오염물질들을 감소시키면서, 기판에 유체를 도포하고 제거하는 최적의 조작을 포함하는 공정에 의해 기판을 효과적으로 처리 (예를 들어, 세정, 건조 등) 한다. 그 결과, 웨이퍼 처리 및 생산이 증가할 수도 있고, 효과적인 웨이퍼 처리에 의해 더 큰 웨이퍼 수율이 달성될 수도 있다.
본 발명은, 하나의 메니스커스가 다른 유체 메니스커스를 적어도 부분적으로 둘러싸고 있는 다중 유체 메니스커스의 생성 및 사용을 통해 최적의 웨이퍼 처리를 가능하게 한다. 일 실시형태에서는, 제 1 유체 메니스커스 및 제 1 유체 메니스커스와 동심적이며 제 1 유체 메니스커스를 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 동심의 유체 입구들 및 출구들이 사용될 수도 있다. 추가적인 실시형태에서는, 임의의 적절한 수의 메니스커스가 서로에 대해 동심적일 수도 있거나 서로 둘러쌀 수도 있다.
본 발명의 다른 양태 및 이점들은 본 발명의 원리를 예시의 방식으로 설명하는 첨부한 도면에 따라 이하 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부한 도면과 관련한 다음의 상세한 설명에 의해 쉽게 이해될 것이다. 설명을 용이하게 하기 위하여, 유사한 참조번호는 유사한 구조적 요소를 지정한다.
기판을 처리하는 방법 및 장치에 대한 발명이 개시된다. 다음 설명에서, 본 발명의 전반적인 이해를 제공하기 위해 다양한 특정 세부사항이 설명된다. 그러나, 본 발명이 이러한 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 자명할 것이다. 다른 예로, 본 발명을 불필요하게 모호하게 하는 것을 피하기 위해, 공지의 처리 공정은 자세히 설명하지 않았다.
본 발명은 다양한 바람직한 실시형태로 기술되는 반면, 선행하는 명세서를 이해하고 도면을 연구하는 당업자는 다양한 변형, 부가, 치환, 및 균등물을 실현할 것으로 인식된다. 따라서, 본 발명은 이러한 변형, 부가, 치환, 균등물을 발명의 진정한 사상 및 범위 내로 포함하는 것으로 의도된다.
이하의 도면들은, 다중-메니스커스 근접 헤드를 사용하여 하나 이상의 특정한 모양, 크기, 및 위치의 유체 메니스커스를 생성하는 예시적인 웨이퍼 처리 시스템의 실시형태들을 도시한다. 일 실시형태에서는, 동심이며 서로 접촉되어 있는 다중 메니스커스가 웨이퍼를 처리하는데 사용된다. 이 기술은 예를 들어, 건조, 에칭, 도금 등과 같은 웨이퍼 공정(들) 타입의 임의의 적절한 타입의 조합을 수행하기 위해 사용될 수도 있다. 여기서 설명하는 시스템들 및 근접 헤드들은 예시적인 것이고, 접촉되어 있는 2 개 이상의 메니스커스의 생성 및 이동을 가능하게 하는 적절한 다른 타입의 구성들이 사용될 수도 있다. 이 실시형태에서, 근접 헤드(들)는 웨이퍼의 중심 부분으로부터 웨이퍼의 에지로 선형 방식으로 이동할 수도 있다. 근접 헤드(들)가 웨이퍼의 한 에지로부터 반대쪽의 다른 에지로 선형으로 이동하는 다른 실시형태가 사용될 수도 있고, 또는 예를 들어, 반경 이동, 원형 이동, 나선 이동, 지그재그 이동, 무작위 이동 등과 같은 다른 비선형 이동이 사용될 수도 있다. 또한, 이동은 사용자가 원하는 임의의 적절한 특정 이동일 수도 있다. 또한, 일 실시형태에서, 웨이퍼는 회전할 수도 있고, 근접 헤드는 선형 방식으로 이동하여 근접 헤드가 웨이퍼의 모든 부분을 처리할 수도 있다. 또한, 웨이퍼가 회전하지 않고 근접 헤드가 웨이퍼의 모든 부분을 처리할 수 있는 방식으로 웨이퍼 위를 이동하도록 구성되는 다른 실시형태들이 사용될 수도 있다. 또한, 여기서 설명하는 근접 헤드 및 웨이퍼 처리 시스템은, 예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 플랫 패널 (flat panels) 등과 같은 임의의 모양 및 크기의 기판들을 처리하는데 사용될 수도 있다. 또한, 근접 헤드의 크기 및 메니스커스의 크기는 교대로 변할 수도 있다. 일 실시형태에서는, 근접 헤드의 크기 및 메니스커스의 크기가 처리되는 웨이퍼보다 클 수도 있고, 다른 실시형태에서는, 근접 헤드 및 메니스커스의 크기가 처리되는 웨이퍼보다 작을 수도 있다. 또한, 본 명세서에서 설명하는 메니스커스는 예를 들어, 브러싱(brushing), 리소그래피 (lithography), 메가소닉스 (megasonics) 등과 같은 웨이퍼 처리 기술들의 다른 형태로 사용될 수도 있다. 유체 메니스커스는 근접 헤드로 지지되고 이동 (예를 들어, 웨이퍼 위로, 웨이퍼 외부로, 웨이퍼를 횡단하여) 될 수 있다.
여기서 기술되는 시스템은 본질적으로 단지 예시적인 것이며, 여기서 기술되는 근접 헤드는 임의의 적절한 시스템에 사용될 수도 있다. 도 2 내지 도 4b는 단일 메니스커스의 형성을 설명하고, 따라서 여기서 설명하는 처리 변수들 (예를 들어, 유속, 치수 등) 은 도 5a 내지 도 8c에서 설명하는 다중-메니스커스에 대해 설명하는 처리 변수들과 다를 수도 있다.
도 2 는 본 발명의 일 실시형태에 따른 웨이퍼 처리 시스템 (100) 을 도시한다. 시스템 (100) 은, 웨이퍼 표면이 처리될 수 있도록 웨이퍼를 유지 및/또는 회전시킬 수 있는 롤러 (102a 및 102b) 를 포함한다. 시스템 (100) 은 또한, 일 실시형태에서 상부 암 (arm) (104a), 및 하부 암 (104b) 에 각각 부착되는 근접 헤드 (106a 및 106b) 를 포함한다. 일 실시형태에서, 근접 헤드 (106a 및/또는 106b) 는, 도 5a 내지 도 10을 참조하여 더 상세하게 설명하는 바와 같은 다중-메니스커스 근접 헤드일 수도 있다. 여기서 설명하는 바와 같이, "다중-메니스커스 근접 헤드" 라는 용어는 하나 이상의 유체 메니스커스를 생성할 수 있는 근접 헤드이다. 일 실시형태에서는, 제 1 유체 메니스커스가 제 2 유체 메니스커스에 의해 실질적으로 둘러싸여 있다. 바람직한 실시형태에서는, 제 1 유체 메니스커스 및 제 2 유체 메니스커스는, 제 2 유체 메니스커스가 제 1 유체 메니스커스를 둘러싼 채로 동심에 있다. 근접 헤드는 여기서 설명하는 바와 같이 유체 메니스커스를 생성할 수도 있는 임의의 적절한 장치일 수도 있다. 상부 암 (104a) 및 하부 암 (104b) 은, 근접 헤드 (106a 및 106b) 가 웨이퍼 반경을 따라 실질적인 선형 이동 (또는 다른 실시형태에서는 미세한 아크형 이동) 을 하도록 하는 어셈블리의 일부일 수 있다. 또 다른 실시형태에서는, 어셈블리가 근접 헤드 (106a 및 106b) 를 임의의 적절한 사용자 정의 이동으로 이동시킬 수도 있다.
일 실시형태에서 암 (104) 은, 근접 헤드 (106a) 를 웨이퍼보다 상부에 유지하고 근접 헤드 (106b) 를 웨이퍼에 근접하여 웨이퍼보다 하부에 유지하도록 구성된다. 예를 들어, 예시적인 일 실시형태에서, 이것은, 상부 암 (104a) 및 하부 암 (104b) 을 수직으로 이동가능하게 하여, 근접 헤드 (106a 및 106b) 가 웨이퍼 처리를 시작하는 위치로 수평으로 이동하게 되면, 근접 헤드 (106a 및 106b) 가 웨이퍼에 근접한 위치로 수직 이동 가능하게 함으로써 달성될 수도 있다. 다른 실시형태에서, 상부 암 (104a) 및 하부 암 (104b) 은 처리 전에 메니스커스가 생성되는 위치로 근접 헤드 (106a 및 106b) 를 출발시키도록 구성되어, 근접 헤드 (106a 및 106b) 사이에 이미 생성된 메니스커스가 웨이퍼 표면으로 이동하여 웨이퍼 (108) 의 에지 영역으로부터 처리될 수도 있다. 따라서, 상부 암 (104a) 및 하부 암 (104b) 은 임의의 적절한 방식으로 구성되어, 근접 헤드 (106a 및 106b) 는 여기서 설명되는 바와 같이 웨이퍼 처리을 가능하게 하도록 움직일 수 있다. 또한, 일 실시형태에서는, 근접 헤드(들)가 웨이퍼로 근접하여 이동할 수 있는 한, 시스템 (100) 은 임의의 적절한 방식으로 구성되어 서로 동심에 있는 다중 메니스커스를 생성하고 제어할 수 있다. 또한, 메니스커스가 유지될 수 있는 한, 근접은 웨이퍼로부터 임의의 적절한 거리일 수 있다. 일 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 각각은 웨이퍼로부터 약 0.1 mm 내지 약 10 mm 사이에 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수 있다. 바람직한 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 각각은 웨이퍼로부터 약 0.5 mm 내지 2.0 mm 사이에 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수 있고, 더 바람직한 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 는 웨이퍼로부터 약 1.5 mm 떨어져서 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수도 있다.
일 실시형태에서, 시스템 (100) 및 암 (104) 은 근접 헤드 (106a 및 106b) 가 웨이퍼의 처리된 부분으로부터 처리되지 않은 부분으로 이동할 수 있도록 구성된다. 근접 헤드 (106a 및 106b) 가 이동하여 웨이퍼를 원하는대로 처리할 수 있도록 하는 임의의 적절한 방식으로 암 (104) 이 이동할 수도 있다. 일 실시형태에서는, 암 (104) 이 모터에 의해 활성화되어 근접 헤드 (106a 및 106b) 가 웨이퍼의 표면을 따라 이동할 수도 있다. 웨이퍼 처리 시스템 (100) 은 근접 헤드 (106a 및 106b) 로 도시되었으나, 예를 들어, 1, 2, 3, 4, 5, 6 등과 같은 임의의 적절한 수의 근접 헤드가 사용될 수도 있다. 웨이퍼 처리 시스템 (100) 의 근접 헤드 (106a 및/또는 106b) 는 또한, 예를 들어, 여기서 설명하는 임의의 근접 헤드에 의해 도시된 바와 같이 임의의 적절한 크기 또는 모양일 수도 있다. 여기서 설명하는 다른 구성으로 근접 헤드와 웨이퍼 사이에 유체 메니스커스가 생성된다. 웨이퍼 표면에 유체를 도포하고 표면으로부터 유체를 제거함으로써, 유체 메니스커스는 웨이퍼를 가로질러 이동하여 웨이퍼를 처리할 수 있다. 이러한 방식으로, 웨이퍼에 도포되는 유체에 따라, 세정, 건조, 에칭 및/또는 도금이 수행될 수도 있다. 또한, 제 1 유체 메니스커스는 일 타입의 공정을 수행할 수도 있고 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스는 제 1 유체 메니스커스와 동일한 공정 또는 다른 웨이퍼 처리 공정을 수행할 수도 있다. 따라서, 근접 헤드 (106a 및 106b) 는 여기서 설명하는 임의의 다양한 타입의 구성 및 여기서 설명하는 처리를 가능하게 하는 다른 구성을 가질 수 있다. 시스템 (100) 은 웨이퍼의 일 표면 또는 웨이퍼의 상하면 모두를 처리할 수도 있다.
또한, 웨이퍼의 상면 및/또는 하면을 처리할 뿐만 아니라 시스템 (100) 은 또한, 상이한 타입의 유체들을 입력하고 출력함으로써, 또는 상이한 구성의 메니스커스를 사용함으로써, 웨이퍼의 일 면은 일 타입의 처리 (즉, 에칭, 세정, 건조, 도금 등) 로 처리하고, 웨이퍼의 다른 면은 동일한 처리 또는 상이한 타입의 처리를 사용하여 처리하도록 구성될 수도 있다. 근접 헤드는 또한 웨이퍼의 상면 및/또는 하면을 처리할 뿐만 아니라 웨이퍼의 베벨 에지 (bevel edge) 를 처리하도록 구성될 수 있다. 베벨 에지를 처리하는 메니스커스를 웨이퍼 에지로부터 (또는 에지로) 이동시킴으로써, 이것이 달성될 수 있다. 또한, 근접 헤드 (106a 및 106b) 는 동일한 타입의 장치 또는 상이한 타입의 근접 헤드일 수도 있다.
처리되는 웨이퍼 (108) 의 일부분으로 원하는 근접 헤드를 근접시킬 수 있도록 하는 배향인 한, 웨이퍼 (108) 는 임의의 적절한 배향으로 롤러 (102a 및 102b) 에 의해 유지되거나 회전될 수도 있다. 일 실시형태에서는, 롤러 (102a 및 102b) 가 시계방향으로 회전하여 웨이퍼 (108) 를 반시계방향으로 회전시킬 수 있다. 원하는 웨이퍼 회전에 따라, 롤러는 시계방향 또는 반시계방향으로 회전될 수도 있다. 일 실시형태에서, 롤러 (102a 및 102b) 에 의해 웨이퍼 (108) 에 부여되는 회전은, 처리되지 않은 웨이퍼 영역을 근접 헤드 (106a 및 106b) 로 접근시키도록 이용된다. 그러나, 회전 그 자체는 웨이퍼를 건조시키거나 또는 웨이퍼 표면 상의 유체를 웨이퍼의 에지로 이동시키지 않는다. 따라서, 예시적인 웨이퍼 처리 공정에서, 웨이퍼의 처리되지 않은 영역은, 근접 헤드 (106a 및 106b) 의 선형이동 및 웨이퍼 (108) 의 회전을 통해 근접 헤드 (106a 및 106b) 에 제공된다. 웨이퍼 처리 공정 자체는 하나 이상의 근접 헤드에 의해 수행되어질 수도 있다. 그 결과, 일 실시형태에서는, 처리 공정이 진행됨에 따라 웨이퍼 (108) 의 처리된 부분들이 웨이퍼 (108) 의 중심영역으로부터 에지 영역으로 나선이동으로 확장할 것이다. 다른 실시형태에서는, 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 의 주변으로부터 웨이퍼 (108) 의 중심으로 이동하는 경우, 웨이퍼 (108) 의 처리된 부분이 웨이퍼 (108) 의 에지 영역으로부터 웨이퍼 (108) 의 중심 영역으로 나선이동으로 확장할 것이다.
예시적인 처리 공정에서, 근접 헤드 (106a 및 106b) 는 웨이퍼 (108) 를 건조, 세정, 에칭 및/또는 도금하도록 구성될 수도 있다. 예시적인 건조 실시형태에서는, 하나 이상의 제 1 입구 (또한 DIW 입구로 공지됨) 로 탈이온수 (DIW; deionized water) 를 입력하도록 구성될 수도 있고, 하나 이상의 제 2 입구 (또한 IPA 입구로 공지됨) 로 이소프로필 알코올 (IPA; isopropyl alcohol) 을 함유한 N2 캐리어 가스를 공급하도록 구성될 수도 있으며, 하나 이상의 출구 (또한, 진공 출구로 공지됨) 로 진공을 가하여 웨이퍼와 특정 근접 헤드간의 영역으로부터 유체를 제거하도록 구성될 수도 있다. 예시적인 실시형태 일부에서 IPA 증기가 사용되지만, 예를 들어, 물과 혼화가능할 수도 있는 휘발성 화학물질, 질소, 임의의 적절한 알코올 증기, 유기 화합물 등과 같은 다른 타입의 증기가 사용될 수도 있다.
예시적인 세정 실시형태에서, 세정액은 DIW로 대체될 수도 있다. 식각액이 DIW로 대체될 수도 있는 예시적인 에칭 실시형태가 수행될 수도 있다. 추가적인 실시형태에서는, 본 명세서에서 설명하는 바와 같이 도금이 수행될 수도 있다. 또한, 원하는 처리 공정에 따라 다른 타입의 용액이 제 1 입구 및 제 2 입구로 공급될 수도 있다.
본 명세서에서 설명하는 안정된 메니스커스가 사용될 수 있는 한, 근접 헤드의 전면 상에 위치한 입구 및 출구는 임의의 적절한 구성일 수도 있다. 일 실시형태에서는, 하나 이상의 처리 유체 입구에 교대로 인접한 하나 이상의 진공 출구에 하나 이상의 N2/IPA 증기 입구가 인접하여, IPA-진공-처리 유체 배향을 형성할 수도 있다. 이러한 구성으로 내부 메니스커스를 적어도 부분적으로 둘러싸는 외부 메니스커스를 생성할 수 있다. 또한, 내부 메니스커스는 처리 유체-진공 배향의 구성을 통해 생성될 수도 있다. 따라서, 일 실시형태에서는, 도 6 및 7a를 참조하여 상세히 설명하는 바와 같이 IPA-진공-제 2 처리 유체-진공-제 1 처리 유체-진공-제 2 처리 유체-진공-IPA 배향에 의해, 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스가 생성될 수도 있다. 원하는 웨이퍼 처리 및 어떠한 타입의 웨이퍼 처리 메카니즘이 처리 결과를 향상시키는지에 따라, IPA-처리 유체-진공, 처리 유체-진공-IPA, 진공-IPA-처리 유체 등과 같은 다른 타입의 배향 조합이 사용될 수도 있다. 바람직한 실시형태에서는, 도 6 및 7a를 참조하여 설명한 형태에서의 IPA-진공-처리 유체 배향이 근접 헤드와 웨이퍼 사이에 위치한 메니스커스를 지능적이고 강력하게 생성하고, 제어하고 및 이동시키는데 사용되어 웨이퍼를 처리한다. 이 배향이 유지되면, 처리 유체 입구, N2/IPA 증기 입구 및 진공 출구가 임의의 적절한 방식으로 배열될 수도 있다. 예를 들어, 추가적인 실시형태에서는, 원하는 근접 헤드의 구성에 따라, N2/IPA 증기 입구, 진공 출구 및 처리 유체 입구에 추가하여, 추가적인 세트의 IPA 증기 출구, 처리 유체 입구 및/또는 진공 출구가 존재할 수도 있다. 입구 및 출구 배향의 정확한 구성은 애플리캐이션에 따라 변할 수도 있다. 예를 들어, IPA 입구, 진공 및 처리 유체 입구 위치 사이의 거리는 가변적이어서 거리는 일정할 수도 있고 일정하지 않을 수도 있다. 또한, IPA 입구, 진공 및 처리 유체 출구 사이의 거리는 근접 헤드 (106a) 의 크기, 모양 및 구성 및 처리 메니스커스의 원하는 크기 (즉, 메니스커스 모양 및 크기) 에 따라 크기가 다를 수도 있다. 또한, 예시적인 IPA-진공-처리 유체 배향은 본 명세서에서 설명하는 또 다른 실시형태에서 발견될 수도 있다.
일 실시형태에서, 근접 헤드 (106a 및 106b) 는 웨이퍼 (108) 의 상면 및 하면에 각각 근접하여 위치할 수도 있고, 도 5a 내지 도 10을 참조하여 더 상세히 설명하는 바와 같이, IPA 및 DIW 입구, 및 진공 출구를 사용하여, 웨이퍼 (108) 에 접촉하여 웨이퍼 (108) 의 상면 및 하면을 처리할 수 있는 웨이퍼 처리 메니스커스를 생성할 수도 있다. 웨이퍼 처리 메니스커스는 본 명세서를 참조한 설명과 일치하는 방식으로 생성될 수도 있다. IPA 및 처리 유체가 공급되는 것과 실질적으로 동시에, 웨이퍼 표면에 근접하여 진공이 가해져, IPA 증기, 처리 유체 및/또는 웨이퍼 표면 상에 존재할 수 있는 유체를 제거할 수도 있다. 예시적인 실시형태에서 IPA가 사용되지만, 예를 들어, 물과 혼화가능할 수도 있는 질소, 임의의 적절한 알코올 증기, 유기 화합물, 헥사놀 (hexanol), 에틸 글리콜, 아세톤 등과 같은 임의의 다른 적절한 타입의 증기가 사용될 수도 있다. 이 유체들은 표면장력 감소 유체로도 인식될 수도 있다. 처리 유체의, 근접 헤드와 웨이퍼 사이의 영역에 존재하는 부분이 메니스커스이다. 본 명세서에서 사용되는 "출력" 이란 용어는 웨이퍼 (108) 와 특정한 근접 헤드 사이의 영역으로부터 유체를 제거하는 것을 일컬을 수 있고, "입력" 이란 용어는 웨이퍼 (108) 와 특정한 근접 헤드 사이의 영역으로 유체를 도입하는 것일 수 있다. 또 다른 실시형태에서는, 근접 헤드 (106a 및 106b) 가, 미세한 아크로 이동하는 암의 말단에서 이동하면서 웨이퍼 (108) 위에서 스캔될 수도 있다.
도 3은 본 발명의 일 실시형태에 따른, 웨이퍼 처리 공정을 수행하는 근접 헤드 (106) 를 도시한다. 제 1 유체 메니스커스가 제 2 유체 메니스커스에 의해 적어도 부분적으로 둘러싸인 더 복잡한 메니스커스 구조를 생성하는 장치 및 방법이 도 5a 내지 도 10에서 설명되는 반면, 도 3 내지 도 4b는 기본적인 유체 메니스커스를 생성하는 방법을 도시한다. 일 실시형태에서, 근접 헤드 (106) 는 웨이퍼 (108) 의 상면 (108a) 으로 근접하게 이동하여 웨이퍼 처리 공정을 수행한다. 근접 헤드 (106) 는 웨이퍼 (108) 의 하면 (108b) 을 처리 (예를 들어, 세정, 건조, 도금, 에칭 등) 하도록 사용될 수도 있다. 일 실시형태에서는, 상면 (108a) 이 처리되면서, 웨이퍼 (108) 가 회전하여 근접 헤드 (106) 는 헤드 이동을 따라 선형 방식으로 이동할 수도 있다. 입구 (302) 를 통해 IPA (310) 를 도포하고, 출구 (304) 를 통해 진공 (312) 을 가하며, 입구 (306) 를 통해 처리 유체 (314) 를 도포하여 메니스커스 (116) 가 생성된다. 도 3에 도시된 바와 같은 입구/출구의 배향은 본질적으로 단지 예시적인 것이고, 여기서 설명하는 다른 구성들과 같이, 안정된 유체 메니스커스를 생성할 수 있는 임의의 적절한 입구/출구 배향이 사용될 수도 있다.
도 4a는 본 발명의 일 실시형태에 따른 근접 헤드 (106a) 에 의해 수행되는 웨이퍼 처리 공정을 도시한다. 도 4a는 상면 (108a) 이 처리되는 것을 도시하고 있지만, 웨이퍼 처리는 웨이퍼 (108) 의 하면 (108b) 에 대해서도 실질적으로 동일한 방식으로 달성될 수도 있다. 일 실시형태에서, 입구 (302) 는 웨이퍼 (108) 의 상면 (108a) 으로 이소프로필 알코올 (IPA) 을 도포하도록 사용될 수도 있고, 입구 (306) 는 웨이퍼 (108) 의 상면 (108a) 으로 처리 유체를 도포하도록 사용될 수도 있다. 또한, 출구 (304) 는 웨이퍼 표면에 근접한 영역에 진공을 가하여, 상면 (108a) 상에 또는 근처에 배치될 수 있는 유체 또는 증기를 제거하도록 사용될 수도 있다. 전술한 바와 같이, 메니스커스 (116) 가 형성될 수 있는 한, 입구 및 출구의 임의의 적절한 조합이 사용될 수도 있다. IPA는, 예를 들어, N2 가스를 사용하여 증기 형태의 IPA 가 입력되는 IPA 증기와 같이 임의의 적절한 형태일 수도 있다. 또한, 웨이퍼 처리를 가능하게 하거나 향상시킬 수 있는, 웨이퍼를 처리하는데 사용되는 임의의 적절한 유체 (예를 들어, 세정 유체, 건조 유체, 에칭 유체, 도금 유체 등) 가 사용될 수 있다. 일 실시형태에서, IPA 유입물 (310) 이 입구 (302) 를 통해 제공되고, 진공 (312) 이 출구 (304) 를 통해 가해지고, 처리 유체 유입물 (314) 이 입구 (306) 를 통해 제공된다. 그 결과, 유체막이 웨이퍼 (108) 상에 존재하면, IPA 유입물 (310) 에 의해 제 1 유체압이 웨이퍼 표면에 가해지고, 처리 유체 유입물 (314) 에 의해 제 2 유체압이 웨이퍼 표면에 제공되며, 웨이퍼 표면 상의 처리 유체, IPA 및 유체막를 제거하기 위하여 진공 (312) 에 의해 제 3 유체압이 제공될 수 있다.
따라서, 웨이퍼 처리의 일 실시형태에서, 처리 유체 유입물 (314) 및 IPA 유입물 (310) 이 웨이퍼 표면으로 도포됨에 따라, 웨이퍼 표면 상의 유체 (존재한다면) 는 처리 유체 (314) 와 혼합된다. 이 때, 웨이퍼 표면으로 도포되는 처리 유체 유입물 (314) 은 IPA 유입물 (310) 과 만난다. IPA 는 처리 유체 유입물 (314) 로 진공 (312) 을 따라 (IPA/처리 유체 인터페이스 (118) 로도 공지된) 인터페이스 (118) 를 형성하고, 웨이퍼 (108) 의 표면으로부터 임의의 다른 유체와 함께 처리 유체 유입물 (314) 의 제거를 돕는다. 일 실시형태에서, IPA/처리 유체 인터페이스 (118) 는 처리 유체의 표면장력을 감소시킨다. 공정시에, 처리 유체는 웨이퍼 표면으로 도포되고, 출구 (304) 에 의해 가해지는 진공에 의해 웨이퍼 표면 상의 유체를 따라 거의 즉시 제거된다. 웨이퍼 표면으로 도포되고, 웨이퍼 표면 상의 임의의 유체를 따라 근접 헤드와 웨이퍼 표면 사이의 영역에 일시적으로 존재하는 처리로, 그 경계가 IPA/처리 유체 인터페이스 (118) 인 메니스커스 (116) 를 형성한다. 따라서, 메니스커스 (116) 는, 표면으로 도포되고 웨이퍼 표면 상의 임의의 유체와 실질적으로 거의 동시에 제거되는 유체의 일정한 흐름이다. 웨이퍼 표면으로부터 처리 유체를 거의 즉시 제거하는 것은 웨이퍼 표면의 영역 상에 유체 물방울의 형성이 건조되는 것을 방지하여, 처리 유체가 공정 (예를 들어, 에칭, 세정, 건조, 도금 등) 에 따른 그 목적을 달성한 후에 웨이퍼 (108) 상의 오염 가능성을 감소시킨다. IPA의 하향주입의 압력 (IPA의 유속에 의해 발생하는 압력) 또한 메니스커스 (116) 를 포함하는 것을 돕는다.
IPA를 함유하는 N2 캐리어 가스의 유속은, 근접 헤드와 웨이퍼 표면 사이의 영역으로부터, 또는 유체가 근접 헤드로부터 출력될 수도 있는 출구 (진공 출구) (304) 로 처리 유체 흐름을 전환하거나 밀어내는 것을 보조할 수도 있다. 처리 유체 흐름을 밀어내는 것은 공정 필수조건이 아니며, 메니스커스 경계 제어를 최적화하는데 사용될 수 있다. 따라서, IPA 및 처리 유체가 출구 (304) 로 당겨짐에 따라, 가스 (예를 들어, 공기) 가 유체를 따라 출구 (304) 로 당겨지기 때문에, IPA/처리 유체 인터페이스 (118) 를 형성하는 경계는 연속적인 경계가 아니다. 일 실시형태에서, 출구 (304) 로부터의 진공이 처리 유체, IPA 및 웨이퍼 표면 상의 유체를 당김으로써, 출구 (304) 로의 흐름은 불연속이다. 이 불연속적인 흐름은, 유체 및 가스의 조합에 진공이 가해질 경우, 스트로를 통해 당겨지는 유체 및 가스와 유사하다. 그 결과, 근접 헤드 (106a) 가 이동함에 따라, 메니스커스는 근접 헤드를 따라 이동하고, 메니스커스에 의해 선점된 영역은, IPA/처리 유체 인터페이스 (118) 의 이동에 기인하여 건조된다. 장치의 구성 및 원하는 메니스커스의 크기 및 모양에 따라 임의의 적절한 수의 입구 (302), 출구 (304) 및 입구 (306) 가 사용될 수도 있다. 또 다른 실시형태에서, 유체 유속 및 진공 유속은 진공 출구로의 전체 액체 흐름이 연속이 되도록 되어, 가스가 진공 출구로 흐르지 않는다.
메니스커스 (116) 가 유지될 수 있는 한, N2/IPA, 처리 유체 및 진공에 대해 임의의 적절한 유속이 사용될 수도 있다. 일 실시형태에서, 일 세트의 입구 (306) 을 통한 처리 유체의 유속은 약 25 ml/분 내지 약 3,000 ml/분 사이다. 바람직한 실시형태에서, 일 세트의 입구 (306) 을 통한 처리 유체의 유속은 약 800 ml/분이다. 유체의 유속은 근접 헤드의 크기에 따라 달라질 수도 있다. 일 실시형태에서, 더 큰 근접 헤드는 더 작은 근접 헤드보다 더 큰 유체의 유속을 가진다. 일 실시형태에서, 더 큰 근접 헤드는 더 많은 입구 (302 및 306) 및 출구 (304) 를 가지기 때문에 이것이 발생할 수도 있다.
일 실시형태에서는, 일 세트의 입구 (302) 를 통한 N2/IPA 증기의 유속은 약 1 ℓ/분 (SLPM) 내지 약 100 SLPM이다. 바람직한 실시형태에서, IPA 유속은 약 6 내지 20 SLPM이다.
일 실시형태에서, 일 세트의 출구 (304) 를 통한 진공에 대한 유속은 약 10 SCFH (standard cubic feet per hour) 내지 약 1250 SCFH 사이이다. 바람직한 실시형태에서, 일 세트의 출구 (304) 를 통한 진공에 대한 유속은 약 350 SCFH이다. 예시적인 실시형태에서, N2/IPA, 처리 유체 및 진공의 유속을 측정하기 위해 유량계 (flow meter) 가 사용될 수도 있다.
사용되는 처리 유체에 따른 메니스커스를 사용하여 임의의 적절한 타입의 웨이퍼 처리 공정이 수행될 수도 있다. 예를 들어, SC-1, SC-2 등과 같은 세정 유체가 처리 유체로 사용되어 웨이퍼 세정 공정을 수행할 수도 있다. 유사한 방식으로, 상이한 유체들이 사용되고 유사한 입구 및 출구 구조가 사용되어 웨이퍼 처리 메니스커스가 또한 웨이퍼를 에칭 및/또는 도금할 수 있다. 일 실시형태 에서, 예를 들어, HF, EKC 함유 용액, KOH 등과 같은 에칭 유체가 웨이퍼를 에칭하는데 사용될 수 있다. 다른 실시형태에서, 예를 들어, Cu 황산염, Au 크롤라이드, Ag 황산염 등과 같은 도금 유체가 전기입력과 함께 수행될 수 있다.
도 4b는 본 발명의 일 실시형태에 따른, 듀얼 웨이퍼 표면 처리 시스템에 사용되는 예시적인 근접 헤드 (106a 및 106b) 의 측면도를 도시한다. 이 실시형태에서는, 진공을 가하는 출구 (304) 를 따라 각각 N2/IPA 및 처리 유체를 입력하기 위한 입구 (302 및 306) 를 사용하여, 메니스커스 (116) 가 생성될 수도 있다. 또한, 입구 (302) 측에 반대되는 입구 (306) 의 측 상에서, 처리 유체를 제거하고 메니스커스 (116) 를 손상되지 않게 유지시키는 출구 (304) 가 존재할 수도 있다. 전술한 바와 같이, 일 실시형태에서는, 출구 (304) 가 진공 (312) 을 가하는데 사용되면서, 입구 (302 및 306) 가 IPA 유입물 (310) 및 처리 유체 유입물 (314) 에 각각 사용될 수도 있다. 또한, 또 다른 실시형태에서는, 근접 헤드 (106a 및 106b) 가 전술한 바와 같은 구성일 수도 있다. 예를 들어, 웨이퍼 (108') 의 웨이퍼 표면 (108a 및 108b) 과 같은, 메니스커스 (116) 와 접촉하게 되는 임의의 적절한 표면은 메니스커스를 표면으로 그리고 표면으로부터 이동시킴으로써 처리될 수도 있다.
도 5a 내지 도 10은 제 1 유체 메니스커스가 하나 이상의 제 2 유체 메니스커스에 의해 적어도 부분적으로 둘러싸인, 본 발명의 실시형태를 도시한다. 제 1 유체 메니스커스 및/또는 제 2 유체 메니스커스는, 예를 들어, 리소그래피, 에 칭, 도금, 세정 및 건조와 같은 적절한 타입의 기판/웨이퍼 처리 공정을 수행하도록 생성될 수도 있다. 제 1 유체 메니스커스 및 제 2 유체 메니스커스는, 원하는 기판 처리 공정에 따라 임의의 적절한 모양 또는 크기일 수도 있다. 본 명세서에서 설명하는 임의의 실시형태에서, 제 1 유체 메니스커스 및 제 2 유체 메니스커스는 제 2 유체 메니스커스가 제 1 유체 메니스커스를 둘러싸는 동심원에 있고, 제 1 유체 메니스커스 및 제 2 유체 메니스커스는 연속적인 유체 연결을 제공한다. 따라서, 제 1 유체 메니스커스가 기판을 처리한 후, 제 1 유체 메니스커스에 의해 처리된 웨이퍼의 부분은 대기와 실질적으로 접촉되지 않고 제 2 유체 메니스커스에 의해 즉시 처리된다. 일 실시형태에서는, 원하는 공정에 따라, 제 1 유체 메니스커스가 제 2 메니스커스와 접촉할 수도 있고, 또 다른 실시형태에서는, 제 1 유체 메니스커스가 제 2 메니스커스와 직접 접촉하지 않는다.
도 5a는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드 (106-1) 를 도시한다. 다중-메니스커스 근접 헤드 (106-1) 는 웨이퍼 표면에 제 1 유체를 도포할 수 있는 복수의 소스 입구 (306a) 를 포함한다. 복수의 소스 출구 (304a) 를 통해 진공을 가하여, 제 1 유체가 웨이퍼 표면으로부터 제거될 수 있다. 따라서, 제 1 유체 메니스커스는 다중-메니스커스 근접 헤드 (106-1) 상에서 처리 표면의 제 1 유체 메니스커스 영역 (402) 내에 위치한 도관에 의해 생성될 수도 있다.
또한, 다중-메니스커스 근접 헤드 (106-1) 는 웨이퍼 표면에 제 2 유체를 도포할 수 있는 복수의 소스 입구 (306b) 를 포함할 수도 있다. 복수의 소스 출구 (304b) 를 통해 진공을 가하여, 제 2 유체가 웨이퍼 표면으로부터 제거될 수 있다. 또한, 일 실시형태에서, 제 2 유체의 일부는 제 1 유체의 제거와 공동으로 복수의 소스 출구 (304a) 에 의해 제거된다. 일 실시형태에서, 출구 (304a) 가 소스 입구 (306a 및 306b) 를 통해 웨이퍼에 도포된 액체를 제거하기 때문에, 복수의 소스 출구 (304a) 를 1 페이즈 (phase) 유체 제거 도관이라 할 수도 있다. 또한, 출구 (304b) 가 소스 입구 (306b) 로부터의 제 2 유체, 및 유체 메니스커스 외부의 대기를 제거하기 때문에, 복수의 소스 출구 (304b) 를 2 페이즈 유체 제거 도관이라 할 수도 있다. 따라서, 일 실시형태에서는, 출구 (304a) 가 액체만을 제거하는 반면, 출구 (304b) 는 액체 및 가스 모두를 제거한다. 그 결과, 제 2 유체 메니스커스는 다중-메니스커스 근접 헤드 (106-1) 상에서 처리 표면의 제 2 유체 메니스커스 영역 (404) 내에 위치한 도관에 의해 형성될 수도 있다.
옵션으로, 다중-메니스커스 근접 헤드 (106-1) 는 웨이퍼 표면에 제 3 유체를 도포할 수 있는 복수의 소스 입구 (302) 를 포함할 수도 있다. 일 실시형태에서, 제 3 유체는, 웨이퍼 표면에 제 2 유체를 도포함으로써 형성된 제 2 메니스커스의 액체/대기 경계의 표면장력을 감소시킬 수 있는 표면장력 감소 유체일 수도 있다.
또한, 다중-메니스커스 근접 헤드 (106-1) (또는 본 명세서에서 설명하는 임의의 다른 근접 헤드) 의 처리 표면 (예를 들어, 도관이 존재하는 다중-메니스커스 근접 헤드의 표면 영역) 은 예를 들어, 평활화되거나, 올려지거나, 낮춰지는 등의 임의의 적절한 구조일 수도 있다. 일 실시형태에서, 다중-메니스커스 (106-1) 의 처리 표면은 실질적으로 평탄한 표면을 가질 수도 있다.
도 5b는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드 (106-1) 의 단면도를 도시한다. 다중-메니스커스 근접 헤드 (106-1) 는 복수의 소스 입구 (306a) 를 통해 제 1 유체를 도포할 수 있고, 복수의 소스 출구 (304a) 를 통해 제 1 유체를 제거할 수 있다. 제 1 유체 메니스커스 (116a) 는 복수의 소스 출구 (304a) 에 의해 실질적으로 둘러싸인 영역 아래에 위치한다. 다중-메니스커스 근접 헤드 (106-1) 는 복수의 소스 입구 (306b) 를 통해 제 2 유체를 도포할 수 있고, 제 2 유체 메니스커스의 일 측 상에 있는 복수의 소스 출구 (304a) 및 다른 측 상에 있는 복수의 소스 출구 (304b) 를 통해 제 2 유체를 제거할 수 있다. 일 실시형태에서, 복수의 소스 입구 (302) 는 제 3 유체를 도포하여, 제 2 유체 메니스커스 (116b) 를 이루는 유체의 표면장력을 감소시킬 수도 있다. 복수의 소스 입구 (302) 는 제 2 유체 메니스커스 (116b) 를 더 양호하게 한정하도록 옵션으로 기울어질 수도 있다.
도 6a는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드 (106-2) 를 도시한다. 일 실시형태에서, 근접 헤드 (106-2) 는 퍼실러티 판 (454) 및 본체 (458) 를 포함한다. 본 명세서에서 설명하는 제 1 유체 메니스커스 및 제 2 유체 메니스커스가 생성될 수 있는 한, 근접 헤드 (106-2) 는 임의의 적절한 수 및/또는 타입의 부분을 포함할 수도 있다. 일 실시형태에서는, 퍼실러티 판 (454) 과 본체 (458) 가 함께 볼트로 결합될 수도 있고, 다른 실시형태에서는, 판 (454) 과 본체 (458) 가 접착제에 의해 부착될 수도 있다. 사용자가 원하는 애플리캐이션 및 공정에 따라, 퍼실러티 판 (454) 과 본체 (458) 는 동일한 재료 또는 상이한 재료로부터 형성될 수도 있다.
근접 헤드 (106-2) 는, 유체(들)가 웨이퍼의 표면에 도포되고 유체가 웨이퍼의 표면으로부터 제거될 수도 있는 도관을 포함하는 처리 표면 (458) 을 포함할 수도 있다. 일 실시형태에서, 처리 표면 (458) 은, 돌출 영역 (452) 에 도시된 바와 같이, 표면 (453) 위로 돌출될 수도 있다. 처리 표면 (458) 은 돌출될 필요는 없으며, 처리중인 웨이퍼의 표면과 접하는 근접 헤드 (106-2) 의 표면 (453) 과 실질적으로 같은 평면일 수도 있다.
도 6b는 본 발명의 일 실시형태에 따른 근접 헤드 (106-2) 의 처리 표면 (458) 을 도시한다. 일 실시형태에서, 처리 표면 (458) 은 유체 메니스커스를 생성하는 근접 헤드 (106-2) 의 영역이다. 처리 표면 (458) 은 임의의 적절한 수 및 타입의 도관을 포함하여 제 1 유체 메니스커스 및 제 2 유체 메니스커스를 생성할 수도 있다. 일 실시형태에서, 처리 표면 (458) 은 유체 입구 (306a), 유체 출구 (304a), 유체 입구 (306b), 유체 출구 (304b) 및 유체 입구 (302) 를 포함한다.
유체 입구 (306a) 는 웨이퍼의 표면에 제 1 유체를 도포할 수도 있고, 유체 입구 (306b) 는 웨이퍼의 표면에 제 2 유체를 도포할 수도 있다. 또한, 유체 출구 (304a) 는 진공을 가하여 웨이퍼의 표면으로부터 제 1 유체와 제 2 유체의 일부를 제거할 수도 있고, 유체 출구 (304b) 는 진공을 가하여 웨이퍼의 표면으로부터 제 2 유체의 일부를 제거할 수도 있으며, 유체 입구 (302) 는 제 2 유체의 표면장력을 감소시킬 수 있는 유체를 도포할 수도 있다. 제 1 유체 및/또는 제 2 유체는, 리소그래피 공정, 에칭 공정, 도금 공정, 세정 공정, 린싱 공정 및 건조 공정 중 하나의 공정을 용이하게 할 수 있는 임의의 적절한 유체일 수도 있다.
도 6c는 본 발명의 일 실시형태에 따른 다중-메니스커스 근접 헤드 (106-2) 처리 표면 (458) 의 근접도를 도시한다. 일 실시형태에서, 처리 표면 (458) 은 유체 입구 (306a) 및 유체 출구 (304a) 를 포함하는 제 1 유체 메니스커스 영역 (402) 을 포함한다. 또한, 처리 표면 (458) 은 유체 입구 (306b), 유체 출구 (304b) 및 유체 입구 (302) 를 포함하는 제 2 유체 메니스커스 영역 (404) 을 포함한다. 따라서, 제 1 유체 메니스커스 영역 (402) 은 제 1 유체 메니스커스를 생성할 수 있고, 제 2 유체 메니스커스 영역 (404) 은 제 2 유체 메니스커스를 생성할 수 있다.
도 6d는 본 발명의 일 실시형태에 따라 본체 (456) 에 부착되어 다중-메니스커스 근접 헤드 (106-2) 를 형성하는 퍼실러티 판 (454) 을 도시한다. 유체 입구 (306a, 306b 및 302) 에 대응하는 채널은 퍼실러티 판 (454) 으로부터 다중-메니스커스 근접 헤드 (106-2) 의 본체 (456) 로 유체를 공급하고, 유체 출구 (304a 및 304b) 에 대응하는 채널은 본체 (456) 로부터 퍼실러티 판 (454) 으로 유체를 제거한다. 일 실시형태에서, 채널 (506a, 504a, 506b, 504b 및 502) 은 유체 입구 (306a), 유체 출구 (304a), 유체 입구 (306b), 유체 출구 (304b) 및 유체 입구 (302) 에 대응한다.
도 6e는 본 발명의 일 실시형태에 따른 근접 헤드 (106-2) 의 단면도를 도시한다. 도 6d를 참조하여 설명한 바와 같이, 채널 (506a, 506b 및 502) 은 제 1 유체, 제 2 유체 및 제 3 유체를 각각 유체 입구 (306a, 306b 및 302) 로 공급할 수도 있다. 또한, 채널 (504a) 은 유체 출구 (304a) 로부터 제 1 유체 및 제 2 유체의 조합을 제거할 수도 있고, 채널 (504b) 은 출구 (304b) 로부터 제 2 유체 및 제 3 유체의 조합을 제거할 수도 있다. 일 실시형태에서, 제 1 유체는 웨이퍼 상에서 예를 들어, 에칭, 리소그래피, 세정, 린싱 및 건조와 같은 임의의 적절한 공정을 수행할 수 있는 제 1 처리 유체이다. 제 2 유체는 제 1 유체와 동일할 수도 있고, 다를 수도 있는 처리 유체이다. 제 1 유체와 마찬가지로, 제 2 유체는 예를 들어, 에칭, 리소그래피, 세정, 린싱 및 건조를 용이하게 할 수 있는 유체와 같은 임의의 적절한 타입의 처리 유체이다.
도 7은 본 발명의 제 1 실시형태에 따른 예시적인 웨이퍼 처리 공정에서의 다중-메니스커스 근접 헤드의 단면도를 도시한다. 도 7 (및 도 8a) 은 처리되는 웨이퍼 (108) 의 상면을 도시하지만, 본 명세서에서 설명한 웨이퍼 (108) 의 상면에 있는 임의의 근접 헤드 및 웨이퍼 (108) 의 하면에 있는 임의의 근접 헤드에 의해, 웨이퍼 (108) 의 상면과 하면이 동시에 처리될 수도 있다는 것을 당업자는 인식해야 한다. 일 실시형태에서는, 제 1 웨이퍼 처리 화학물질이 유체 입구 (306a) 를 통해 웨이퍼 (108) 에 도포된다. 제 1 웨이퍼 처리 화학물질이 웨이퍼 표면을 처리한 후, 제 1 웨이퍼 처리 화학물질은 유체 출구 (304a) 를 통해 웨이퍼 표면으로부터 제거된다. 제 1 웨이퍼 처리 유체는 다중-메니스커스 근접 헤드 (106-2) 와 웨이퍼 (108) 의 사이에 제 1 유체 메니스커스 (116a) 를 형성할 수도 있다. 일 실시형태에서는, 예를 들어 탈이온수 (DIW) 와 같은 제 2 처리 유체가 유체 입구 (306b) 를 통해 웨이퍼 표면에 도포된다.
전술한 바와 같이, 제 2 처리 유체는 웨이퍼 표면 상에서 원하는 공정을 수행할 수 있는 임의의 적절한 유체일 수도 있다. DIW가 웨이퍼 표면을 처리한 후, DIW는 소스 출구 (304a 및 304b) 모두를 통해 웨이퍼 표면으로부터 제거된다. 다중-메니스커스 근접 헤드 (106-2) 와 웨이퍼 표면 사이에서 DIW는 제 2 유체 메니스커스 (116b) 를 형성할 수도 있다.
일 실시형태에서는, 예를 들어, 질소 가스 내의 이소프로필 알코올 증기와 같은 표면장력 감소 유체가 소스 입구 (302) 로부터 웨이퍼 표면에 옵션으로 도포되어, 제 2 유체 메니스커스 (116b) 의 액체/가스 경계를 안정하게 유지할 수도 있다. 일 실시형태에서는, 제 2 유체 메니스커스 (116b) 가 제 1 유체 메니스커스 (116a) 를 실질적으로 둘러쌀 수 있다. 이러한 방식으로, 제 1 유체 메니스커스 (116a) 가 웨이퍼 표면을 처리한 후, 제 2 유체 메니스커스 (116b) 가 제 1 유체 메니스커스 (116a) 에 의해 이미 처리된 웨이퍼 표면의 일부분 상에서 거의 즉시 공정을 시작한다. 따라서, 일 실시형태에서는, 제 2 유체 메니스커스 (116b) 가 제 1 유체 메니스커스 (116a) 주위에 동심원의 링을 형성한다. 제 1 유체 메니스커스 (116a) 는 원, 타원, 정사각형, 직사각형, 삼각형, 사변형 등과 같은 임의의 적절한 기하학적 모양일 수도 있다. 제 1 유체 메니스커스 (116a) 가 어떠한 모양이더라도, 제 2 유체 메니스커스 (116b) 는 제 1 유체 메니스커스 (116a) 를 적어도 부분적으로 둘러싸도록 구성될 수 있다. 제 1 유체 메니스커스 (116a) 및/또는 제 2 유체 메니스커스 (116b) 는 원하는 웨이퍼 처리 공정에 따라 임의의 적절한 유체(들)를 사용할 수도 있다.
안정된 유체 메니스커스를 생성하기 위해, 소스 입구 (306a) 를 통해 제 1 유체 메니스커스로 입력되는 제 1 유체의 양은 소스 출구 (304a) 를 통해 제거되는 제 1 유체의 양과 실질적으로 동일하다. 소스 입구 (306b) 를 통해 제 2 유체 메니스커스로 입력되는 제 2 유체의 양은 소스 출구 (304a 및 304b) 를 통해 제거되는 제 2 유체의 양과 실질적으로 동일하다. 일 실시형태에서는, 근접 헤드 (106-2) 가 웨이퍼 (108) 로부터 떨어져 있는 거리 (480) 에 의해 유체의 유속이 결정된다. 메니스커스가 안정된 방식으로 유지되고 제거될 수 있는 한, 거리 (480) 는 임의의 적절한 거리일 수도 있다. 일 실시형태에서, 거리 (480) 는 50 미크론과 5 mm 사이일 수도 있고, 다른 실시형태에서는, 0.5 mm 내지 2.5 mm일 수도 있다. 바람직하게는, 거리 (480) 는 약 1 mm와 1.5 mm 사이이다. 일 실시형태에서, 거리 (480) 는 약 1.3 mm이다.
도 7에 도시된 유체의 유속은, 제 1 유체 메니스커스 및 제 1 유체 메니스커스를 실질적으로 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 임의의 적절한 유속일 수도 있다. 원하는 제 1 유체 메니스커스와 제 2 유체 메니스커스 사이의 거리에 따라, 유속은 달라질 수도 있다. 일 실시형태에서, 소스 입구 (306a) 는 약 600 cc/분의 유속으로 제 1 유체를 도포할 수도 있고, 소스 입구 (306b) 는 약 900 cc/분의 유속으로 제 2 유체를 도포할 수도 있고, 소스 출구 (304a) 는 약 1200 cc/분의 유속으로 제 1 유체 및 제 2 유체를 제거할 수도 있고, 소스 출구 (304b) 는 약 300 cc/분의 유속으로 제 2 유체 및 (표면장력 감소 유체 가 웨이퍼 표면에 도포되고 있으면, N2 내의 일부의 IPA 증기를 포함할 수도 있는) 대기를 제거할 수도 있다. 일 실시형태에서, 소스 출구 (304) 를 통한 유체의 유속은 소스 입구 (306a) 를 통한 유체의 유속의 2 배와 같을 수도 있다. 소스 입구 (306b) 를 통한 유체의 유속은 소스 입구 (306a) 를 통한 유속에 300 cc/분을 합한 것과 같을 수도 있다. 소스 입구 (306a, 306b) 와 소스 출구 (304a, 304b) 의 구체적인 유속 관계는 본 명세서에서 설명하는 근접 헤드의 구성 및/또는 처리 영역의 구성에 따라 달라질 수도 있다.
도 8a는 본 발명의 일 실시형태에 따른 소수성 배리어 (602) 를 처리하는데 사용되는 다중-메니스커스 근접 헤드 (106-3) 의 단면도를 도시한다. 일 실시형태에서, 다중-메니스커스 근접 헤드 (106-3) 는 유체 입구 (306a, 306b) 및 유체 출구 (304a, 304b) 및 옵션으로 유체 입구 (302) 를 포함한다. 도 6을 참조하여 설명한 바와 같이, 유체 입구 (306a) 는 웨이퍼 표면에 제 1 처리 유체를 도포할 수 있다. 제 1 유체는 원하는 웨이퍼 처리 공정에서 웨이퍼 표면을 처리할 수 있는 임의의 적절한 유체일 수도 있다. 따라서, 일 실시형태에서, 제 1 유체는 리소그래피 향상 유체, 에칭 유체, 세정 유체, 린싱 유체 및 건조 유체 중 임의의 하나의 유체일 수도 있다. 또한, 선택적인 실시형태에서, 유체 입구 (302) 는 웨이퍼 표면에 제 3 유체를 도포할 수 있다. 처리 유체가 웨이퍼 표면 상에서 공정한 후, 처리 유체는, 일 예로, 유체 출구 (304a) 를 통한 진공에 의해 제거된다. 웨이퍼 처리 화학물질이 웨이퍼 표면을 처리한 후, 웨이퍼 처리 화학물질은 유체 출구 (304a) 를 통해 웨이퍼 표면으로부터 제거된다.
또한, 다중-메니스커스 근접 헤드 (106-3) 는 유체 입구 (306b) 를 통해 웨이퍼 표면에 제 2 웨이퍼 처리 유체를 도포할 수도 있고, 일 실시형태에서는, 유체 출구 (304a 및 304b) 를 통해 가해진 진공에 의해 표면으로부터 제 2 웨이퍼 처리 유체를 제거할 수도 있다. 이러한 방식으로, 제 2 유체 메니스커스 (116b) 가 생성될 수도 있다. 제 2 유체는 원하는 웨이퍼 처리 공정에서 웨이퍼 표면을 처리할 수 있는 임의의 적절한 유체일 수도 있다. 따라서, 일 실시형태에서, 제 2 유체는 리소그래피 향상 유체, 에칭 유체, 세정 유체, 린싱 유체 및 건조 유체 중 하나의 유체일 수도 있다. 또한, 선택적인 실시형태에서, 유체 입구 (302) 는 웨이퍼 표면에 제 3 유체를 도포할 수 있다. 제 3 유체는 제 2 유체의 표면장력을 감소시킬 수 있는 임의의 적절한 유체일 수도 있다. 일 실시형태에서, 제 3 유체는 질소 가스 내의 이소프로필 알코올 증기 (IPA/N2) 이다.
다중-메니스커스 근접 헤드 (106-3) 의 일 실시형태에서는, 비친화적 (phobic) 배리어 (602) 가 유체 출구 (304a) 와 유체 입구 (306b) 사이에 위치된다. 웨이퍼 처리 유체는 다중-메니스커스 근접 헤드 (106-2) 사이에서 제 1 유체 메니스커스 (116a) 를 형성한다. 일 실시형태에서, 탈이온수 (DIW) 가 유체 입구 (306b) 를 통해 웨이퍼 표면에 도포된다. DIW가 웨이퍼 표면을 처리한 후, DIW는 소스 출구 (304b) 를 통해 웨이퍼 표면으로부터 제거된다. 다중-메니스커스 근접 헤드 (106-2) 와 웨이퍼 표면 사이에서 DIW는 제 2 유체 메니스커스 (116b) 를 형성한다. 질소 가스 내의 이소프로필 알코올 증기가 웨이퍼 표면에 옵션으로 도포되어 제 2 유체 메니스커스 (116b) 의 액체/가스 경계를 안정하게 유지시킬 수도 있다. 일 실시형태에서는, 제 2 유체 메니스커스 (116b) 가 제 1 유체 메니스커스 (116a) 를 실질적으로 둘러싸고 있다. 이러한 방식으로, 제 1 유체 메니스커스 (116a) 가 웨이퍼 표면을 처리한 후, 제 2 유체 메니스커스 (116b) 가 제 1 유체 메니스커스 (116a) 에 의해 이미 처리된 웨이퍼 표면의 일부분 상에서 공정을 거의 즉시 시작할 수 있다.
도 8a에 도시된 실시형태에는 제 1 유체 메니스커스 (116a) 와 제 2 유체 메니스커스 (116b) 를 분리할 수 있는 비친화적 배리어 (602) 가 포함된다. 이러한 실시형태에서, 제 1 유체 메니스커스 (116a) 는 제 2 유체 메니스커스 (116b) 와 직접 접촉하지 않을 수도 있다. 이하, 도 8b를 참조하여 더 설명하는 바와 같이, 웨이퍼 표면을 처리한, 제 1 유체 메니스커스 (116a) 로부터 사용완료된 유체는 제 2 유체 메니스커스 (116b) 에 의한 제거를 위해 웨이퍼 표면 상에 잔류할 수도 있다.
도 8b는 본 발명의 일 실시형태에 따른 친수성 웨이퍼 표면 상에서 동작하는 다중-메니스커스 근접 헤드 (106-3) 의 확대도를 도시한다. 일 실시형태에서, 다중-메니스커스 근접 헤드 (106-3) 는, 전술한 바와 같이 원하는 어떠한 타입의 웨이퍼 처리 공정에서도 웨이퍼 표면을 처리할 수 있는 제 1 유체 메니스커스 (116a) 를 포함한다. 그 후, 웨이퍼 표면 상에 잔류하는, 제 1 유체 메니스커스 (116a) 로부터 사용완료된 화학물질은 (도시된 일 실시형태에서는 사용완료된 화학물질을 제거하기 위한 린싱 유체 메니스커스인) 제 2 유체 메니스커스 (116b) 에 의해 처리될 수 있다. 도시된 실시형태는, 제 1 유체 메니스커스 (116a) 가 웨이퍼 표면의 처리 영역으로부터 이탈할 때 사용완료된 화학물질을 유지할 수 있는 소수성 웨이퍼의 처리와 관련된다.
도 8c는 본 발명의 일 실시형태에 따른 친수성 웨이퍼 표면 상에서 동작하는 다중-메니스커스 근접 헤드 (106-3) 의 확대도를 도시한다. 이 실시형태에서는, 웨이퍼 표면이 소수성이기 때문에, 제 1 유체 메니스커스 (116a) 의 (일 실시형태에서 수성 유체인) 웨이퍼 처리 화학물질는 처리 후 웨이퍼 표면 상에 남지 않는다. 따라서, 비친화적 배리어 (602) 는 제 1 유체 메니스커스 (116a) 와 제 2 유체 메니스커스 (116b) 를 분리 유지하여, 제 1 유체 메니스커스의 유체 (116a) 와 제 2 유체 메니스커스 유체 (116b) 의 상호혼합이 발생하지 않을 수 있다. 또한, 이러한 실시형태에서의 소스 출구 (304) 는 제 1 유체 메니스커스 (116a) 로부터 제 1 유체만을 제거한다.
예시적인 실시형태에서는 단지 2 개의 메니스커스 (내부 메니스커스 및 둘러싼 외부 메니스커스) 만이 도시되었지만, 임의의 적절한 수의 동심적 메니스커스가 생성될 수 있다. 각각의 내부 메니스커스가 하나 이상의 소스 입구 (306a) 및 소스 출구 (304a) 의 세트에 의해 생성될 수도 있는 이러한 경우에, 최후에 둘러싸는 메니스커스 (메니스커스를 둘러싸는 최후의 외부 메니스커스) 는 하나 이상의 소스 입구 (306b) 및 소스 출구 (304b) 의 세트를 가질 수도 있다. 임의의 내부 메니스커스는, 특정한 처리 유체를 도포하고 제거할 수 있는 소스 입구 (306a) 및 소스 출구 (304b) 의 일 세트에 의해 생성될 수도 있다.
도 9는 본 발명의 일 실시형태에 따른 직사각형 모양의 메니스커스를 포함하는 다중-메니스커스 근접 헤드 (106-4) 를 도시한다. 이 실시형태에서는, 다중-메니스커스 근접 헤드 (106-4) 가 메니스커스 (116c) 로 둘러싸인 사각 모양 메니스커스 (116a') 를 포함하고, 메니스커스 (116c) 는 차례로 외부 유체 메니스커스 (116b') 로 둘러싸여 있다. 메니스커스 (116a', 116c 및 116b') 는 본 명세서에서 설명한 입구/출구 구성을 변경함으로써 생성될 수도 있다. 일 실시형태에서는, 소스 입구 (306a, 306c 및 306b) 가 제 1 유체, 제 2 유체 및 제 3 유체를 웨이퍼에 도포하도록 구성될 수도 있다. 또한, 소스 출구 (304a, 304c 및 304b) 는 (진공에 의해) 제 1 유체와 제 2 유체, 제 2 유체와 제 3 유체 및 제 3 유체와 대기를 각각 제거하도록 구성될 수도 있다. 또한, 소스 입구 (302) 는 제 3 유체 메니스커스의 외부에 표면장력 감소 유체를 도포하도록 옵션으로 사용될 수도 있다.
도 9를 참조하여 설명한 각각의 유체 메니스커스 (116a', 116b' 및 116c) 는 웨이퍼 표면 상에서 예를 들어, 에칭, 세정, 리소그래피, 린싱, 건조 등과 같은 임의의 적절한 공정을 수행할 수도 있다.
도 10은 본 발명의 일 실시형태에 따른 직사각형의 유체 메니스커스를 가진 다중-메니스커스 근접 헤드 (106-5) 를 도시한다. 일 실시형태에서는, 유체 메니스커스 (116a) 가, 유체 메니스커스 (116b-1 및 116b-2) 에 의해 교대로 둘러싸인 유체 메니스커스 (116c-1, 116c-2) 에 의해 양 측 (일 실시형태에서는 길이 방향) 에서 둘러싸여 있다. 도 10에 도시된 각각의 유체 메니스커스는 웨이퍼 표면 상에서, 예를 들어, 에칭, 세정, 리소그래피, 린싱, 건조 등과 같은 임의의 적절한 공정을 수행할 수도 있다. 도시된 메니스커스는 본 명세서에서 설명한 장치 및 방법론에 따라 임의의 적절한 방법으로 생성될 수도 있다.
본 발명은 다양한 바람직한 실시형태에 따라 설명되었으나, 선행 명세서를 판독하고 도면을 연구하는 당업자는 다양한 변형, 부가, 치환, 및 균등물을 실현할 수 있을 것을 인식해야 할 것이다. 따라서 본 발명은 이러한 모든 변형, 부가, 치환 및 균등물을 본 발명의 진정한 사상 및 범위 내로 포함하도록 의도되었다.
본 명세서에서 설명하는 장치 및 방법은 다중 메니스커스를 사용하여, 웨이퍼 표면 상에 잔류하는 불필요한 유체 및 오염물질들을 감소시키면서, 기판에 유체를 도포하고 제거하는 최적의 조작을 포함하는 공정에 의해 기판을 효과적으로 처리 (예를 들어, 세정, 건조, 등) 한다. 그 결과, 웨이퍼 처리 및 생산은 증가할 수도 있고, 효과적인 웨이퍼 처리에 의해 더 큰 웨이퍼 수율이 달성될 수도 있다.

Claims (23)

  1. 기판 처리 방법으로서
    제 1 유체 메니스커스를 생성하는 단계; 및
    상기 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성하는 단계를 포함하며,
    상기 제 1 유체 메니스커스를 생성하는 단계는, 제 1 유체 입구를 통해 상기 기판 표면에 제 1 유체를 도포하는 단계 및 제 1 유체 출구를 통해 상기 기판 표면으로부터 상기 제 1 유체를 제거하는 단계를 포함하고,
    상기 제 2 유체 메니스커스를 생성하는 단계는, 제 2 유체 입구를 통해 상기 기판 표면에 제 2 유체를 도포하는 단계, 상기 제 1 유체 출구 및 제 2 유체 출구를 통해 상기 기판 표면으로부터 상기 제 2 유체를 제거하는 단계를 포함하고,
    상기 제 1 유체 메니스커스 및 상기 제 2 유체 메니스커스는 상기 기판의 표면 상에 생성되어 있는, 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 유체 메니스커스로 상기 기판 표면을 처리하는 단계; 및
    상기 제 2 유체 메니스커스로 상기 기판 표면을 처리하는 단계를 더 포함하는, 기판 처리 방법.
  3. 제 2 항에 있어서,
    상기 제 1 유체 메니스커스로 상기 기판 표면을 처리하는 단계는, 에칭 공정, 세정 공정, 린싱 공정, 도금 공정 및 리소그래피 공정 중 하나의 공정을 포함하는, 기판 처리 방법.
  4. 제 2 항에 있어서,
    상기 제 2 유체 메니스커스로 상기 기판 표면을 처리하는 단계는, 에칭 공정, 세정 공정, 린싱 공정, 도금 공정, 건조 공정 및 리소그래피 공정 중 하나의 공정을 포함하는, 기판 처리 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 제 2 유체 메니스커스를 생성하는 단계는, 제 3 입구를 통해 제 3 유체를 도포하는 단계를 더 포함하는, 기판 처리 방법.
  7. 제 1 항에 있어서,
    상기 제 1 유체는 리소그래피 유체, 에칭 유체, 도금 유체, 세정 유체 및 린싱 유체 중 하나의 유체인, 기판 처리 방법.
  8. 제 6 항에 있어서,
    상기 제 2 유체는 리소그래피 유체, 에칭 유체, 도금 유체, 세정 유체, 건조 유체 및 린싱 유체 중 하나의 유체인, 기판 처리 방법.
  9. 제 6 항에 있어서,
    상기 제 3 유체는 상기 제 2 유체의 표면장력을 감소시키는, 기판 처리 방법.
  10. 제 6 항에 있어서,
    상기 제 3 유체는 질소 가스 내의 이소프로필 알코올 증기인, 기판 처리 방법.
  11. 기판 표면 상에 제 1 유체 메니스커스를 생성할 수 있고, 상기 기판 표면 상에 상기 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 근접 헤드를 포함하고,
    상기 근접 헤드는, 상기 제 1 유체 메니스커스와 접촉할 때 상기 제 2 유체 메니스커스의 무결성을 유지할 수 있고,
    상기 근접 헤드는,
    상기 제 1 유체 메니스커스를 생성할 수 있는 상기 근접 헤드 내에 정의되는 제 1 세트의 도관; 및
    상기 제 1 세트의 도관을 적어도 부분적으로 둘러싸며, 상기 제 2 유체 메니스커스를 생성할 수 있는 상기 근접 헤드 내에 정의되는 제 2 세트의 도관을 구비하고,
    상기 제 1 세트의 도관은,
    웨이퍼의 표면에 제 1 유체를 도포하기 위한 하나 이상의 입구, 및
    상기 웨이퍼의 표면으로부터 적어도 상기 제 1 유체를 제거하기 위한 하나 이상의 출구를 구비하고,
    상기 제 2 세트의 도관은,
    상기 웨이퍼의 표면에 제 2 유체를 도포하기 위한 하나 이상의 입구, 및
    상기 웨이퍼의 표면으로부터 상기 제 2 유체를 제거하기 위한 하나 이상의 출구를 구비하고,
    상기 웨이퍼의 표면으로부터 상기 제 1 유체를 제거하기 위한 상기 하나 이상의 출구는 상기 제 2 유체의 적어도 일부를 또한 제거하는, 기판 처리 장치.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 제 11 항에 있어서,
    상기 제 2 세트의 도관은 상기 웨이퍼의 표면으로 제 3 유체를 도포하기 위한 하나 이상의 입구를 더 구비하는, 기판 처리 장치.
  16. 제 11 항에 있어서,
    상기 제 1 유체 메니스커스는 에칭 공정, 세정 공정, 린싱 공정, 도금 공정 및 리소그래피 공정 중 하나의 공정을 실행할 수 있는, 기판 처리 장치.
  17. 제 11 항에 있어서,
    상기 제 2 유체 메니스커스는 에칭 공정, 세정 공정, 린싱 공정, 도금 공정, 건조 공정 및 리소그래피 공정 중 하나의 공정을 실행할 수 있는, 기판 처리 장치.
  18. 제 15 항에 있어서,
    상기 제 3 유체는 상기 제 2 유체의 표면장력을 감소시키는, 기판 처리 장치.
  19. 제 1 유체 메니스커스를 생성할 수 있고, 상기 제 1 유체 메니스커스를 적어도 부분적으로 둘러싸는 제 2 유체 메니스커스를 생성할 수 있는 근접 헤드를 포함하고,
    상기 근접 헤드는,
    웨이퍼의 표면에 제 1 유체를 도포하도록 구성되며 상기 근접 헤드의 처리 표면에 정의되는 하나 이상의 제 1 입구;
    상기 웨이퍼의 표면으로부터 상기 제 1 유체와, 제 2 유체의 적어도 일부를 제거하도록 구성되며 상기 근접 헤드의 처리 표면에 정의되는 하나 이상의 제 1 출구;
    상기 웨이퍼의 표면에 상기 제 2 유체를 도포하도록 구성되며 상기 근접 헤드의 처리 표면에 정의되는 하나 이상의 제 2 입구; 및
    상기 웨이퍼의 표면으로부터 상기 제 2 유체의 적어도 일부를 제거하도록 구성되며 상기 근접 헤드의 처리 표면에 정의되는 하나 이상의 제 2 출구를 포함하고,
    상기 하나 이상의 제 2 입구 및 상기 하나 이상의 제 2 출구는 상기 하나 이상의 제 1 출구 및 상기 하나 이상의 제 1 입구를 적어도 부분적으로 둘러싸는, 기판 처리 장치.
  20. 제 19 항에 있어서,
    상기 근접 헤드는 상기 웨이퍼의 표면에 제 3 유체를 도포하도록 구성되며 상기 근접 헤드의 처리 표면에 정의되는 하나 이상의 제 3 입구를 더 구비하는, 기판 처리 장치.
  21. 제 19 항에 있어서,
    상기 제 1 유체 메니스커스는 에칭 공정, 세정 공정, 린싱 공정, 도금 공정 및 리소그래피 공정 중 하나의 공정을 실행할 수 있는, 기판 처리 장치.
  22. 제 19 항에 있어서,
    상기 제 2 유체 메니스커스는 에칭 공정, 세정 공정, 린싱 공정, 도금 공정, 건조 공정 및 리소그래피 공정 중 하나의 공정을 실행할 수 있는, 기판 처리 장치.
  23. 제 20 항에 있어서,
    상기 제 3 유체는 상기 제 2 유체의 표면장력을 감소시키는, 기판 처리 장치.
KR1020050058852A 2004-06-30 2005-06-30 동심 근접 처리 헤드 KR101160100B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/883,301 2004-06-30
US10/883,301 US6954993B1 (en) 2002-09-30 2004-06-30 Concentric proximity processing head

Publications (2)

Publication Number Publication Date
KR20060049724A KR20060049724A (ko) 2006-05-19
KR101160100B1 true KR101160100B1 (ko) 2012-06-26

Family

ID=34978713

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050058852A KR101160100B1 (ko) 2004-06-30 2005-06-30 동심 근접 처리 헤드

Country Status (7)

Country Link
US (1) US6954993B1 (ko)
EP (1) EP1612846A3 (ko)
JP (1) JP4758694B2 (ko)
KR (1) KR101160100B1 (ko)
CN (3) CN100517586C (ko)
MY (1) MY139040A (ko)
SG (1) SG118399A1 (ko)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0208806D0 (en) * 2002-04-17 2002-05-29 Rieke Corp Dispenser pumps
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7389783B2 (en) 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN100568101C (zh) 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
KR101101737B1 (ko) * 2002-12-10 2012-01-05 가부시키가이샤 니콘 노광장치 및 노광방법, 디바이스 제조방법
JP4352874B2 (ja) * 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
US7948604B2 (en) * 2002-12-10 2011-05-24 Nikon Corporation Exposure apparatus and method for producing device
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
SG171468A1 (en) * 2002-12-10 2011-06-29 Nikon Corp Exposure apparatus and method for producing device
CN1723541B (zh) 2002-12-10 2010-06-02 株式会社尼康 曝光装置和器件制造方法
DE10261775A1 (de) 2002-12-20 2004-07-01 Carl Zeiss Smt Ag Vorrichtung zur optischen Vermessung eines Abbildungssystems
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
KR101381538B1 (ko) 2003-02-26 2014-04-04 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
EP1610361B1 (en) * 2003-03-25 2014-05-21 Nikon Corporation Exposure system and device production method
JP4902201B2 (ja) * 2003-04-07 2012-03-21 株式会社ニコン 露光装置、露光方法及びデバイス製造方法
WO2004093159A2 (en) 2003-04-09 2004-10-28 Nikon Corporation Immersion lithography fluid control system
KR101364928B1 (ko) 2003-04-10 2014-02-19 가부시키가이샤 니콘 액침 리소그래피 장치용 진공 배출을 포함하는 환경 시스템
KR101238142B1 (ko) * 2003-04-10 2013-02-28 가부시키가이샤 니콘 액침 리소그래피 장치용 운반 영역을 포함하는 환경 시스템
WO2004090633A2 (en) * 2003-04-10 2004-10-21 Nikon Corporation An electro-osmotic element for an immersion lithography apparatus
WO2004093160A2 (en) 2003-04-10 2004-10-28 Nikon Corporation Run-off path to collect liquid for an immersion lithography apparatus
SG10201404132YA (en) 2003-04-11 2014-09-26 Nippon Kogaku Kk Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
KR101597475B1 (ko) 2003-04-11 2016-02-24 가부시키가이샤 니콘 액침 리소그래피에 의한 광학기기의 세정방법
JP4582089B2 (ja) 2003-04-11 2010-11-17 株式会社ニコン 液浸リソグラフィ用の液体噴射回収システム
KR20050122269A (ko) * 2003-04-17 2005-12-28 가부시키가이샤 니콘 액침 리소그래피를 이용하기 위한 오토포커스 소자의광학적 배열
TWI295414B (en) 2003-05-13 2008-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1624481A4 (en) * 2003-05-15 2008-01-30 Nikon Corp EXPOSURE DEVICE AND METHOD FOR MANUFACTURING COMPONENTS
TW200509205A (en) 2003-05-23 2005-03-01 Nippon Kogaku Kk Exposure method and device-manufacturing method
TW201515064A (zh) 2003-05-23 2015-04-16 尼康股份有限公司 曝光方法及曝光裝置以及元件製造方法
EP1628330A4 (en) 2003-05-28 2009-09-16 Nikon Corp EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2261742A3 (en) 2003-06-11 2011-05-25 ASML Netherlands BV Lithographic apparatus and device manufacturing method.
US7317504B2 (en) * 2004-04-08 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20180112884A (ko) * 2003-06-13 2018-10-12 가부시키가이샤 니콘 노광 방법, 기판 스테이지, 노광 장치, 및 디바이스 제조 방법
TWI536430B (zh) 2003-06-19 2016-06-01 尼康股份有限公司 An exposure apparatus, an exposure method, and an element manufacturing method
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7236232B2 (en) * 2003-07-01 2007-06-26 Nikon Corporation Using isotopically specified fluids as optical elements
EP2466383B1 (en) * 2003-07-08 2014-11-19 Nikon Corporation Wafer table for immersion lithography
EP2264531B1 (en) 2003-07-09 2013-01-16 Nikon Corporation Exposure apparatus and device manufacturing method
WO2005006418A1 (ja) 2003-07-09 2005-01-20 Nikon Corporation 露光装置及びデバイス製造方法
WO2005006416A1 (ja) * 2003-07-09 2005-01-20 Nikon Corporation 結合装置、露光装置、及びデバイス製造方法
JP4524669B2 (ja) * 2003-07-25 2010-08-18 株式会社ニコン 投影光学系の検査方法および検査装置
US7175968B2 (en) * 2003-07-28 2007-02-13 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
CN102323724B (zh) 2003-07-28 2014-08-13 株式会社尼康 液浸曝光装置及其制造方法、曝光装置、器件制造方法
US7326522B2 (en) 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
EP1503244A1 (en) 2003-07-28 2005-02-02 ASML Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101419192B1 (ko) * 2003-08-29 2014-07-15 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
TWI263859B (en) 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP4288426B2 (ja) 2003-09-03 2009-07-01 株式会社ニコン 液浸リソグラフィのための流体の供給装置及び方法
WO2005029559A1 (ja) * 2003-09-19 2005-03-31 Nikon Corporation 露光装置及びデバイス製造方法
TWI497565B (zh) 2003-09-29 2015-08-21 尼康股份有限公司 An exposure apparatus and an exposure method, and an element manufacturing method
KR101111364B1 (ko) 2003-10-08 2012-02-27 가부시키가이샤 자오 니콘 기판 반송 장치 및 기판 반송 방법, 노광 장치 및 노광방법, 디바이스 제조 방법
EP1672682A4 (en) 2003-10-08 2008-10-15 Zao Nikon Co Ltd SUBSTRATE TRANSPORT DEVICE AND METHOD, EXPOSURE DEVICE AND METHOD AND COMPONENT MANUFACTURING METHOD
JP2005136364A (ja) * 2003-10-08 2005-05-26 Zao Nikon Co Ltd 基板搬送装置、露光装置、並びにデバイス製造方法
TW201738932A (zh) 2003-10-09 2017-11-01 Nippon Kogaku Kk 曝光裝置及曝光方法、元件製造方法
US7411653B2 (en) 2003-10-28 2008-08-12 Asml Netherlands B.V. Lithographic apparatus
US7352433B2 (en) 2003-10-28 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4605014B2 (ja) * 2003-10-28 2011-01-05 株式会社ニコン 露光装置、露光方法、デバイスの製造方法
JP4295712B2 (ja) 2003-11-14 2009-07-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置製造方法
KR101394764B1 (ko) 2003-12-03 2014-05-27 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법, 그리고 광학 부품
KR101941351B1 (ko) 2003-12-15 2019-01-22 가부시키가이샤 니콘 스테이지 장치, 노광 장치, 및 노광 방법
JPWO2005057635A1 (ja) * 2003-12-15 2007-07-05 株式会社ニコン 投影露光装置及びステージ装置、並びに露光方法
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
US7394521B2 (en) 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN1938646B (zh) * 2004-01-20 2010-12-15 卡尔蔡司Smt股份公司 曝光装置和用于投影透镜的测量装置
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US7990516B2 (en) 2004-02-03 2011-08-02 Nikon Corporation Immersion exposure apparatus and device manufacturing method with liquid detection apparatus
TWI606485B (zh) 2004-03-25 2017-11-21 尼康股份有限公司 曝光裝置、曝光方法、及元件製造方法
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US7898642B2 (en) 2004-04-14 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8054448B2 (en) 2004-05-04 2011-11-08 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7616383B2 (en) * 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7486381B2 (en) * 2004-05-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4845880B2 (ja) 2004-06-04 2011-12-28 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学結像系の像品質測定システム
JP4760708B2 (ja) 2004-06-09 2011-08-31 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法、メンテナンス方法
US8069813B2 (en) * 2007-04-16 2011-12-06 Lam Research Corporation Wafer electroless plating system and associated methods
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101342330B1 (ko) 2004-07-12 2013-12-16 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
EP1801853A4 (en) * 2004-08-18 2008-06-04 Nikon Corp EXPOSURE DEVICE AND COMPONENT MANUFACTURING METHOD
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5000124B2 (ja) * 2004-11-12 2012-08-15 三星電子株式会社 表示装置及びその駆動方法
US7397533B2 (en) 2004-12-07 2008-07-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG124351A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG124359A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP2506289A3 (en) 2005-01-31 2013-05-22 Nikon Corporation Exposure apparatus and method for manufacturing device
US8692973B2 (en) 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
US7282701B2 (en) 2005-02-28 2007-10-16 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
KR100697288B1 (ko) * 2005-07-20 2007-03-20 삼성전자주식회사 반도체 기판 건조 장치
US7357768B2 (en) * 2005-09-22 2008-04-15 William Marshall Recliner exerciser
US7503977B1 (en) * 2005-09-27 2009-03-17 Lam Research Corporation Solidifying layer for wafer cleaning
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
KR100768849B1 (ko) * 2005-12-06 2007-10-22 엘지전자 주식회사 계통 연계형 연료전지 시스템의 전원공급장치 및 방법
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7893047B2 (en) * 2006-03-03 2011-02-22 Arch Chemicals, Inc. Biocide composition comprising pyrithione and pyrrole derivatives
US8127395B2 (en) * 2006-05-05 2012-03-06 Lam Research Corporation Apparatus for isolated bevel edge clean and method for using the same
DE102006021797A1 (de) 2006-05-09 2007-11-15 Carl Zeiss Smt Ag Optische Abbildungseinrichtung mit thermischer Dämpfung
US7752996B2 (en) * 2006-05-11 2010-07-13 Lam Research Corporation Apparatus for applying a plating solution for electroless deposition
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) * 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
JP4763563B2 (ja) * 2006-09-20 2011-08-31 大日本スクリーン製造株式会社 基板処理方法
US7811423B2 (en) * 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US9632425B2 (en) * 2006-12-07 2017-04-25 Asml Holding N.V. Lithographic apparatus, a dryer and a method of removing liquid from a surface
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080149147A1 (en) * 2006-12-22 2008-06-26 Lam Research Proximity head with configurable delivery
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US8237911B2 (en) 2007-03-15 2012-08-07 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
US8464736B1 (en) * 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
JP4910899B2 (ja) * 2007-06-14 2012-04-04 東ソー株式会社 加工ヘッドおよびこの加工ヘッドを用いた加工方法
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
US8084406B2 (en) * 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
US7998304B2 (en) * 2007-12-20 2011-08-16 Lam Research Corporation Methods of configuring a proximity head that provides uniform fluid flow relative to a wafer
WO2009085250A2 (en) * 2007-12-20 2009-07-09 Lam Research Corporation Methods of configuring a proximity head that provides uniform fluid flow relative to a wafer
US8317966B2 (en) * 2008-02-08 2012-11-27 Lam Research Corporation Apparatus for substantially uniform fluid flow rates relative to a proximity head in processing of a wafer surface by a meniscus
KR101448152B1 (ko) * 2008-03-26 2014-10-07 삼성전자주식회사 수직 포토게이트를 구비한 거리측정 센서 및 그를 구비한입체 컬러 이미지 센서
TW201011114A (en) * 2008-05-19 2010-03-16 Du Pont Apparatus and method of vapor coating in an electronic device
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
EP2128703A1 (en) 2008-05-28 2009-12-02 ASML Netherlands BV Lithographic Apparatus and a Method of Operating the Apparatus
JP4922359B2 (ja) * 2008-07-25 2012-04-25 エーエスエムエル ネザーランズ ビー.ブイ. 流体ハンドリング構造、リソグラフィ装置及びデバイス製造方法
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
ITMI20100407A1 (it) 2010-03-12 2011-09-13 Rise Technology S R L Cella foto-voltaica con regioni di semiconduttore poroso per ancorare terminali di contatto
EP2381310B1 (en) 2010-04-22 2015-05-06 ASML Netherlands BV Fluid handling structure and lithographic apparatus
US8858755B2 (en) * 2011-08-26 2014-10-14 Tel Nexx, Inc. Edge bevel removal apparatus and method
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US11728185B2 (en) 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060195A1 (en) * 2002-09-30 2004-04-01 Lam Research Corporation Methods and systems for processing a substrate using a dynamic Liquid meniscus

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5852034B2 (ja) 1981-08-26 1983-11-19 株式会社ソニツクス 部分メツキ方法及びその装置
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH0628223Y2 (ja) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5343234A (en) 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
US5807522A (en) 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
HU222768B1 (hu) 1994-06-30 2003-10-28 The Procter & Gamble Company Folyadékszállító szövedék felületi energiagradienssel, eljárás előállítására és ezt tartalmazó abszorbens cikk
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JPH08277486A (ja) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd リードフレームのめっき装置
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
DE19646006C2 (de) 1996-11-07 2000-04-06 Hideyuki Kobayashi Düse zur Schnellgalvanisierung mit einer Galvanisierungslösungsabstrahl- und -ansaugfunktion
JPH1133506A (ja) 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JPH10232498A (ja) * 1997-02-19 1998-09-02 Nec Kyushu Ltd 現像装置
DE69828592T8 (de) 1997-09-24 2006-06-08 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren zum entfernen einer flüssigkeit von einer oberfläche einer substrat
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
ATE311665T1 (de) 1997-09-24 2005-12-15 Imec Inter Uni Micro Electr Verfahren und vorrichtung zum entfernen von einer flüssigkeit von der oberfläche eines rotierenden substrats
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
US6132586A (en) 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6341998B1 (en) 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
JP2004515053A (ja) 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド ウェーハ洗浄方法及び装置
US6555017B1 (en) 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
DE60218163T2 (de) 2001-06-12 2007-11-22 Akrion Technologies Inc., Wilmington Megaschallreinigungs- und trocknungsvorrichtung
JP4003441B2 (ja) * 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
PL208012B1 (pl) * 2002-09-30 2011-03-31 Lam Res Corp Sposób i system do obróbki płytek półprzewodnikowych oraz przyrząd i głowica do stosowania tego sposobu

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060195A1 (en) * 2002-09-30 2004-04-01 Lam Research Corporation Methods and systems for processing a substrate using a dynamic Liquid meniscus

Also Published As

Publication number Publication date
CN100517587C (zh) 2009-07-22
CN100517585C (zh) 2009-07-22
KR20060049724A (ko) 2006-05-19
US20050217137A1 (en) 2005-10-06
EP1612846A3 (en) 2009-12-02
SG118399A1 (en) 2006-01-27
JP4758694B2 (ja) 2011-08-31
US6954993B1 (en) 2005-10-18
MY139040A (en) 2009-08-28
CN1725449A (zh) 2006-01-25
CN1725450A (zh) 2006-01-25
CN100517586C (zh) 2009-07-22
EP1612846A2 (en) 2006-01-04
JP2006073998A (ja) 2006-03-16
CN1722375A (zh) 2006-01-18

Similar Documents

Publication Publication Date Title
KR101160100B1 (ko) 동심 근접 처리 헤드
KR101118006B1 (ko) 비친화적 배리어 메니스커스 분리 및 억제
US7387689B2 (en) Methods for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP5331865B2 (ja) 基板処理においてメニスカスを用いるための装置および方法
KR101117051B1 (ko) 얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치
JP4578373B2 (ja) 基板処理装置
US7383844B2 (en) Meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) Vertical proximity processor
KR101147944B1 (ko) 근접 헤드부를 이용한 웨이퍼 건조시 주위 분위기의 제어
KR101338797B1 (ko) 메니스커스, 진공, ipa증기, 건조 매니폴드를 이용한 기판처리시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160610

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170612

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190612

Year of fee payment: 8