JP5171625B2 - 半導体デバイスの製造方法及び基板処理装置 - Google Patents

半導体デバイスの製造方法及び基板処理装置 Download PDF

Info

Publication number
JP5171625B2
JP5171625B2 JP2008525899A JP2008525899A JP5171625B2 JP 5171625 B2 JP5171625 B2 JP 5171625B2 JP 2008525899 A JP2008525899 A JP 2008525899A JP 2008525899 A JP2008525899 A JP 2008525899A JP 5171625 B2 JP5171625 B2 JP 5171625B2
Authority
JP
Japan
Prior art keywords
raw material
semiconductor device
manufacturing
processing chamber
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008525899A
Other languages
English (en)
Other versions
JPWO2008010546A1 (ja
Inventor
博信 宮
和弘 平原
吉隆 濱田
敦彦 須田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Hitachi Kokusai Electric Inc
Original Assignee
Shin Etsu Chemical Co Ltd
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd, Hitachi Kokusai Electric Inc filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2008525899A priority Critical patent/JP5171625B2/ja
Publication of JPWO2008010546A1 publication Critical patent/JPWO2008010546A1/ja
Application granted granted Critical
Publication of JP5171625B2 publication Critical patent/JP5171625B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、薄膜の形成方法および半導体デバイスの製造方法ならびに基板処理装置に関して、特に、半導体集積回路の製造方法において、半導体ウェーハ(以下ウェーハという)にALD(Atomic Layer Deposition)法により酸化膜を形成する技術に関するものである。
近年、半導体DRAMデバイスの高密度化、多層配線化に伴い、低温での成膜が要求され、更に、表面の平坦性、凹部埋めこみ性、ステップカバレッジ性に優れ、かつ誘電率(k)の大きなキャパシタ材料が求められてきた。従来のSi3N4(k=7)に比べ誘電率の大きなキャパシタ材料としてはHfO(k=30)、ZrO(k=25)などの材料が用いられる。HfOの成膜方法としては、スパッタ法、MOCVD(Metal organic CVD)法、ALD(Atomic layer deposition)法などがあるが、低温で成膜可能でステップカバレッジ(段差被覆性)の良好なALD法が最近では注目され精力的に開発が進められている。
しかし、これらの材料を交互に反応室に流してHfO膜を形成する場合の問題点として、トレンチ(溝)構造を持つパターンウェーハを用いるとウェーハ中央部において膜厚が低下して段差被覆性が悪かったり、1バッチ内においてパターンウェハの装填枚数によってHfO2膜の被覆性が低下(ローディング効果と呼ぶ)したりする問題があった。段差被覆性やローディング効果を改善するためにハフニウム原料の供給量を増大したり、供給時間を増大すれば段差被覆性やローディング効果は改善されるが、成膜時間の増大を招いてスループットが悪化したり、原料消費量の増大により原料にかかるコストが増大してCOO(Cost of ownership:1枚あたりの製造コスト)の悪化を招くことになっていた。
ALD成膜方法で用いられる金属材料としては適度な化学安定性と高い反応性を有するものが好ましく、有機化合物としては3級アルコキドや2級アルコキシド(M H[OCR1R2R3]m−nや M H[N R4R5] m−n:ただし、Mはアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子或いはケイ素原子を含む金属を示し、mは該金属の安定価数、nは0〜2の整数(Mが珪素以外の原子である場合には0を表す)、R1〜R5は炭素数1から4の中間にエーテル結合を含んでも良いアルキル基である。)を配位子として有するものが好ましい。
例えばハフニウム原料としてはHf(O-tBu)4 (Tetra-ter-butoxyhafnium:Hf[OC(CH3)3]4), Hf(MMP)4 (Tetrakis(1-methoxy-2-methyl-propoxy)hafnium:Hf[OC(CH3)2CH2OCH3]4), TDEAHf (Tetrakis(diethylamino)hafnium:Hf[N(C2H5)2]4, TEMAH (Tetrakis(ethylmethylamino)hafnium:Hf[N(CH3)(C2H5)]4)などHfの有機系材料やHfCl4などの塩化物材料が用いられる。また、例えば珪素の場合には、上記の配位子の他、2個までであれば、水素も好ましい配位子である。又、酸化材としてはオゾン(O3)やプラズマ励起された酸素などが用いられる。
又、HfO膜と同様にSiO膜をALD成膜で形成する場合でもシリコン原料としては、Si(MMP)4 (Tetrakis(1-methoxy-2-methyl-propoxy)silicon:Si[OC(CH3)2CH2OCH3]4)や TDMAS (Tris(ethylmethylamino)silicon:SiH[N(CH3)2]3)などのSiの有機系材料やSiCl4などの塩化物材料が検討されていたが、HfO膜と同様にトレンチ(溝)部での段差被覆性が悪かったり、ローディング効果の問題があった。
したがって、本発明の主な目的は、金属酸化物の薄膜形成において上述したようなステップカバレッジやローディング効果の問題点を排除し、低温で短時間で金属化合物をウェーハ(段差)表面に吸着させ、表面平坦性、ステップカバレッジ(凹部埋めこみ性)に優れ、ローディング効果のない金属酸化物の薄膜形成方法および半導体デバイスの製造方法ならびに基板処理装置を提供することにある。
本発明の一態様によれば、
少なくとも1枚の基板を処理室内に搬入する工程と、
第1の原料である金属化合物あるいはケイ素化合物と、第2の原料である酸素原子を含む酸化原料と、第3の原料である水素化原料とを、前記処理室内に所定回数繰り返し供給して前記基板表面に金属酸化膜あるいはケイ素酸化膜を形成する工程と、
前記基板を前記処理室内から搬出する工程と、を有し、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に供給するごとに、それぞれ前記処理室内を不活性ガスによりパージする半導体デバイスの製造方法が提供される。
本発明の他の態様によれば、
少なくとも1枚の基板を処理する処理室と、
第1の原料である金属化合物あるいはケイ素化合物を前記処理室内に供給する第1の供給系と、
第2の原料である酸素原子を含む酸化原料を前記処理室内に供給する第2の供給系と、
第3の原料である水素化原料を前記処理室内に供給する第3の供給系と、
前記処理室内の雰囲気を排気する排気系と、
前記第1の供給系、前記第2の供給系、前記第3の供給系を制御して、前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に所定回数繰り返し供給させ、前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に供給するごとに、それぞれ前記処理室内を不活性ガスによりパージさせる制御部と、
を有する基板処理装置が提供される。
成膜メカニズムを説明するための概略図であって、特にHfCl4のCl基が基板上のOH基と反応する様子を模式的に示す図面である。 成膜メカニズムを説明するための概略図であって、特にHfClxのClがOHに置換される様子を模式的に示す図面である。 成膜メカニズムを説明するための概略図である。 成膜メカニズムを説明するための概略図である。 成膜メカニズムを説明するための概略図である。 酸化膜のプロセスシーケンス(実施例)を説明するための概略図である。 酸化膜のプロセスシーケンス(比較例)を説明するための概略図である。 本実施例に係る基板処理装置の概観図である。 本実施例に係る基板処理装置の断面図である。 本実施例に係る基板処理装置の処理炉の構成図である。 本実施例に係る基板処理装置の処理炉の断面図である。
発明を実施するための好ましい形態
本発明の好ましい実施例を図面を参照して説明する。
まず、HfO2膜成膜時の基板上への原料吸着について考えてみる。
M.A.AlamとM.L.GreenはHfCl4とH2Oの反応系においてHfO2の成膜速度を以下の式(1)(2)で表した。 (Journal of Applied Physics, Vol. 94, 2003)
dNHfO / dC = KCOV NOH 式(1)
dNOH / dC = K2 (N0− NSiOH) + (αCOV − 1)KCOVNOH 式(2)
ここで、NHfOはALD反応後に単位面積あたりに堆積したHfO2膜の総量、CはALDのサイクル数、KCOVはHfCl4と反応するヒドロキシル基(-OH)の数、NOHはヒドロキシル基の表面濃度、K2は新しくSiと結合するヒドロキシル基の速度定数を表している。
又、R.L.Puurunen(Journal of Applied Physics, vol.95, 2004)は図1に示すように、初めのFirst Half-reactionでHfCl4のCl基が基板上のOH基と反応しHfClxが吸着し、Second Half-reactionでHfClxのClがOHに置換するモデルを提案している。このように吸着反応は基板上にOH基が存在していることにより進むと考えられている。
しかし、酸化剤としてH2Oを用いた場合は反応室壁にH2Oが付着して容易に反応室から排出することが出来ないという問題がある。反応室内温度が50℃の場合は、真空引きしただけでは20%のOHが脱離するだけであり、温度が175℃の場合は真空引きしただけでは50%のOH脱離であり、12時間の真空引きによってもなお、15%のOHが残留するとの報告もある。このように反応室内からH2Oは脱離し難いという特徴を持っている。
本発明の好ましい実施例では、H2Oを反応室内に過剰に供給した場合に残留するH2Oの影響を排除するために、H2Oを用いずに原子状水素(水素プラズマ)とO3により基板表面をOH化することにある。
ALD成膜を行う場合の基板表面はHF処理により水素終端された不活性な表面となっている。水素終端した表面の研究は1980年代後半から1990年代において精力的に研究が進められた。1%HFでエッチングしたSi表面はAT&TのChabal等のXPSによる研究により、ダングリングボンドの大部分が水素終端され化学的安定な表面となっていることが明らかにされている。しかし、 Hf(NMeEt)4やSi(NMeEt)3のような有機物原料は極性のないH終端された基板表面には吸着し難く、H2Oを流すことによりOH-終端されて基板表面は極性を持ち吸着し易くなる。
ケイ素酸化物の形成プロセスを考えるため以後の成膜モデルとしてTDMAS(Tris(dimethylamino)silane: SiH[N(CH3)2]3 )を例として説明を行う。
図2はTDMASとH2Oを用いた場合の成膜モデルを示している。H2O分子は解離して1分子で2つのダングリングボンドを占める。1つはSi-OHであり、1つはSi-Hである。TDMAS供給工程ではSi-OHサイトにTDMASが吸着してN(Me)2が脱離する。吸着したTDMAS分子のN(Me)2は次のH2O供給工程でOHに置換されることになる。
一方、TDMASとO3を用いた場合の成膜モデルを図3に示す。成膜初期の基板表面は図2(2)として、Si表面はSi-H 及びSi-OH である。TDMAS供給工程ではSi-OHサイトにTDMASが吸着してN(Me)2が脱離する。活性化酸素原子O*は基板表面に吸着したTDMAS分子のN(Me)2基を脱離させる。それと同時にN(Me)2基が外れたところはSi-O-Si結合が形成されたり、ダングリングボンドが残る。次にTDMASを反応室に供給するとダングリングボンド部分にTDMASが吸着してN(Me)2基を脱離させる。このようにTDMASとオゾンの反応においてはSi-O-Si結合がオゾンの供給時間の増大と共に増大する傾向を持ち、Si-O-Si結合が生じていると、それ以降の成長が阻害される恐れもある。
そこで本発明者らは、H2Oを直接反応室に流すのではなく、原子状水素(水素プラズマ)とオゾンを交互に反応室に供給して成膜を行う方法を考えた。図4はTDMASと原子状水素(水素プラズマ)とオゾンを用いた場合の成膜モデルを示している。初期表面はTDMASとオゾンの反応で用いたようにSi-H 及びSi-OH である。TDMASを流すと図4(2)のようにTDMASが吸着してN(Me)2が脱離する。その後のオゾン供給工程ではN(Me)2が脱離して、次に原子状水素(水素プラズマ)を供給すると工程(3)の如くOH終端される。次工程(4)で再度TDMASが供給されるとOH終端部にTDMASが吸着してN(Me)2基を脱離させる。
このように原子状水素(水素プラズマ)とオゾンを個別に流すことにより従来のH2Oを用いたプロセスに比べ反応室内にH2Oが残留することがなく、又オゾンに比べ基板表面をOH終端とすることが出来るためTDMASが吸着しやすいという利点がある。
次にウェーハ表面へのALD法により酸化膜を形成する工程について説明する。
図5に本発明の好ましい実施例における処理シーケンスを示した。
第1のステップではまず反応室内に金属有機化合物原料であるTDMASを流し、Si基板表面に吸着させる。第2のステップでは不活性ガスでパージを行い反応室内の残留Si原料を反応室外に排出する。第3ステップで第2の原料ガスであるオゾンを流して基板に吸着した第1の原料ガスTDMASのジメチルアミンN(Me)2基を脱離させる。第4ステップでは不活性ガスにより反応室内をパージして、第5ステップで原子状水素(水素プラズマ)を反応室内に供給する。原子状水素(水素プラズマ)により基板表面はSi-OHに置換される。第6ステップで不活性ガスにより反応室内をパージする。これら第1のステップから第6のステップを所定回数繰り返す。その結果、基板表面にケイ素酸化膜(SiO2)が形成される。
なお、前述の処理シーケンス(図5)において、第2原料である酸化剤供給後のパージ工程を省略することも出来るし、第2原料である酸化剤と第3原料である原子状水素(水素プラズマ)を入れ替えることも出来る。
また、前述の処理シーケンス(図5)においては、ケイ素酸化膜を含めて、Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2からなる群から選択される一つからなる金属酸化膜を形成することもできる。
この場合、第1のステップで使用される第1の原料は、好ましくはアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子或いはケイ素原子を含む有機化合物や、前記原子の塩化物からなる群から選ばれた一つの原料であり、更に好ましくはM H[OCR1R2R3]m−n、 M H[N R4R5] m−nである。但し、Mはアルミニウム等の上記に記載の金属を示し、mは該金属の安定価数、nは0〜2の整数(Mが珪素以外の原子である場合には0を表す)、R1〜R5は水素または炭素数1から4の中間にエーテル結合を含んでも良いアルキル基である。
また、第3のステップで使用される第2の原料は、オゾン(O3)以外にも、過酸化水素(H2O2)、酸素或いは原子状酸素から選ばれた原料であってもよく、第5のステップで使用される第3の原料は、水素プラズマ以外にも、脱離水素を含む原料であってもよい。また第2,第4,第6のステップで使用される不活性ガスは、好ましくはヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、窒素(N2)を用いる。
更に、主に第1〜第6のステップで構成されるケイ素酸化膜あるいは金属酸化膜の形成工程では、ガスが供給される反応室内の温度を好ましくは20℃以上、700℃以下とし、反応室内の圧力を好ましくは1Pa以上、10,000Pa以下とする。
ここで、図5のシーケンスの比較例として、図3の成膜モデルに係るALD法のSiO2膜プロセスシーケンスを図6に示す。
1つのサイクルは4工程であり、第1のステップではまず反応室内にケイ素化合物原料であるTDMASを流し、Si基板表面にこの原料を吸着させる。第2のステップでは不活性ガスによりパージを行い反応室内の残留Si原料を反応室外に排出する。第3のステップでは酸化材が流され、Si基板表面に吸着したSi原料とO3の反応によりSiO2を形成する。第4のステップでは不活性ガスにより反応室内がパージされ、反応室内の残留オゾンは反応室外に排出される。それそれのステップ時間は一例としては第1ステップ(Si原料供給工程)は1−30秒、第2ステップ(パージ工程)は5-15秒、第3ステップ(O3供給工程)は5−60秒、第4ステップ(パージ工程)は5-15秒である。
次に、図7、図8を用いて、半導体デバイスの製造方法における処理工程を実施する基板処理システムに適用される半導体製造装置(以下、単に処理装置という)について説明する。図7は、本発明の好ましい実施例である処理装置の斜透視図が示されている。また、図8は図7に示す処理装置の側面透視図である。
図7および図8に示されているように、シリコン等からなるウェーハ(基板)200を収納したウェーハキャリアとしてのカセット110が使用されている本発明の処理装置101は、筐体111を備えている。筐体111の正面壁111aの下方にはメンテナンス可能なように設けられた開口部としての正面メンテナンス口103が開設され、この正面メンテナンス口103を開閉する正面メンテナンス扉104が建て付けられている。メンテナンス扉104には、カセット搬入搬出口(基板収容器搬入搬出口)112が筐体111内外を連通するように開設されており、カセット搬入搬出口112はフロントシャッタ(基板収容器搬入搬出口開閉機構)113によって開閉されるようになっている。カセット搬入搬出口112の筐体111内側にはカセットステージ(基板収容器受渡し台)114が設置されている。カセット110はカセットステージ114上に工程内搬送装置(図示せず)によって搬入され、かつまた、カセットステージ114上から搬出されるようになっている。
カセットステージ114は、工程内搬送装置によって、カセット110内のウエハ200が垂直姿勢となり、カセット110のウエハ出し入れ口が上方向を向くように載置される。カセットステージ114は、カセット110を筐体後方に右回り縦方向90°回転し、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体後方を向くように動作可能となるよう構成されている。
筐体111内の前後方向の略中央部には、カセット棚(基板収容器載置棚)105が設置されており、カセット棚105は複数段複数列にて複数個のカセット110を保管するように構成されている。カセット棚105にはウエハ移載機構125の搬送対象となるカセット110が収納される移載棚123が設けられている。また、カセットステージ114の上方には予備カセット棚107が設けられ、予備的にカセット110を保管するように構成されている。
カセットステージ114とカセット棚105との間には、カセット搬送装置(基板収容器搬送装置)118が設置されている。カセット搬送装置118は、カセット110を保持したまま昇降可能なカセットエレベータ(基板収容器昇降機構)118aと搬送機構としてのカセット搬送機構(基板収容器搬送機構)118bとで構成されており、カセットエレベータ118aとカセット搬送機構118bとの連続動作により、カセットステージ114、カセット棚105、予備カセット棚107との間で、カセット110を搬送するように構成されている。
カセット棚105の後方には、ウエハ移載機構(基板移載機構)125が設置されており、ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置(基板移載装置)125aおよびウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ(基板移載装置昇降機構)125bとで構成されている。ウエハ移載装置エレベータ125bは、耐圧筐体111の右側端部に設置されている。これら、ウエハ移載装置エレベータ125bおよびウエハ移載装置125aの連続動作により、ウエハ移載装置125aのツイーザ(基板保持体)125cをウエハ200の載置部として、ボート(基板保持具)217に対してウエハ200を装填(チャージング)および脱装(ディスチャージング)するように構成されている。
図9に示されているように、筐体111の後部上方には、処理炉202が設けられている。処理炉202の下端部は、炉口シャッタ(炉口開閉機構)147により開閉されるように構成されている。
処理炉202の下方にはボート217を処理炉202に昇降させる昇降機構としてのボートエレベータ(基板保持具昇降機構)115が設けられ、ボートエレベータ115の昇降台に連結された連結具としてのアーム128には蓋体としてのシールキャップ219が水平に据え付けられており、シールキャップ219はボート217を垂直に支持し、処理炉202の下端部を閉塞可能なように構成されている。
ボート217は複数本の保持部材を備えており、複数枚(例えば、50枚〜150枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
図8に示されているように、カセット棚105の上方には、清浄化した雰囲気であるクリーンエアを供給するよう供給ファン及び防塵フィルタで構成されたクリーンユニット134aが設けられておりクリーンエアを前記筐体111の内部に流通させるように構成されている。
また、ウエハ移載装置エレベータ125bおよびボートエレベータ115側と反対側である筐体111の左側端部には、クリーンエアを供給するよう供給フアンおよび防塵フィルタで構成されたクリーンユニット(図示せず)が設置されており、図示しない前記クリーンユニットから吹き出されたクリーンエアは、ウエハ移載装置125a、ボート217を流通した後に、図示しない排気装置に吸い込まれて、筐体111の外部に排気されるようになっている。
次に、前述した処理装置の動作について説明する。
図7および図8に示されているように、カセット110がカセットステージ114に供給されるに先立って、カセット搬入搬出口112がフロントシャッタ113によって開放される。その後、カセット110はカセット搬入搬出口112から搬入され、カセットステージ114の上にウエハ200が垂直姿勢であって、カセット110のウエハ出し入れ口が上方向を向くように載置される。その後、カセット110は、カセットステージ114によって、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体後方を向けるように、筐体後方に右周り縦方向90°回転させられる。
次に、カセット110は、カセット棚105ないし予備カセット棚107の指定された棚位置へカセット搬送装置118によって自動的に搬送されて受け渡され、一時的に保管された後、カセット棚105ないし予備カセット棚107からカセット搬送装置118によって移載棚123に移載されるか、もしくは直接移載棚123に搬送される。
カセット110が移載棚123に移載されると、ウエハ200はカセット110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、移載室124の後方にあるボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはカセット110に戻り、次のウエハ110をボート217に装填する。
予め指定された枚数のウエハ200がボート217に装填されると、炉口シャッタ147によって閉じられていた処理炉202の下端部が、炉口シャッタ147によって、開放される。続いて、ウエハ200群を保持したボート217はシールキャップ219がボートエレベータ115によって上昇されることにより、処理炉202内へ搬入(ローディング)されて行く。ローディング後は、処理炉202にてウエハ200に任意の処理が実施される。処理後は、上述の逆の手順で、ウエハ200およびカセット110は筐体111の外部へ払出される。
次に、図9, 10を用いて、前述した基板処理装置に適用される処理炉202について説明する。
図9は、本実施の形態で好適に用いられる縦型の基板処理炉の概略構成図であり、処理炉202部分を縦断面で示し、図10は処理炉202部分をA−A線断面図で示す。
加熱装置(加熱手段)であるヒータ207の内側に、基板であるウエハ200を処理する反応容器としての反応管203が設けられ、この反応管203の下端には、例えばステンレス等によりマニホールド209が気密部材であるOリング220を介して下端開口は蓋体であるシールキャップ219によりOリング220を介して気密に閉塞され、少なくとも、反応管203、マニホールド209及びシールキャップ219により処理室201を形成している。シールキャップ219にはボート支持台218を介して基板保持部材(基板保持手段)であるボート217が立設され、ボート支持台218はボートを保持する保持体となっている。そして、ボート217は処理室201に挿入される。ボート217にはバッチ処理される複数のウエハ200が水平姿勢で管軸方向に多段に積載される。ヒータ207は処理室201に挿入されたウエハ200を所定の温度に加熱する。
処理室201へは複数種類、ここでは4種類の処理ガスを供給する供給経路としての3本のガス供給経路(第1のガス供給経路、第2のガス供給経路、 第3のガス供給経路)が設けられている。第1のガス供給経路には上流方向から順にTDMASを供給するための原料供給ユニット(液体原料タンク、液体流量制御装置、液体原料気化器を含む)15、開閉弁である第1のバルブ31を介し、キャリアガスを供給する第1のキャリアガス供給管51が合流されている。第1のガス供給経路のTDMAS供給管はヒータ22により覆われ加熱可能となっている。このキャリアガス供給管51には上流方向から順に流量制御装置(流量制御手段)であるマスフローコントローラ41、及び開閉弁であるバルブ32が設けられている。また、第1のガス供給経路の先端部には、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間に、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、第1のノズル11が設けられ、第1のノズル11の側面にはガスを供給する供給孔である第1のガス供給孔が設けられてウェーハに原料を供給出来る構造となっている。この第1のガス供給孔は、下部から上部にわたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている。
第2のガス供給経路には上流方向から順にオゾンを供給するための原料供給ユニット16と、この供給ユニットにオゾンを発生させるために原料である酸素供給管53及びパージガスとして窒素供給管54が接続されている。原料流量を制御するためのマスフローコントローラ43と開閉弁であるバルブ34を介し、キャリアガスを供給するキャリアガス供給管52が合流されている。このキャリアガス供給管52には上流方向から順に流量制御装置(流量制御手段)であるマスフローコントローラ42、及び開閉弁であるバルブ33が設けられている。また、第2のガス供給経路の先端部には、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間に、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、第2のノズル12が設けられ、第2のノズル12の側面にはガスを供給する供給孔である第2のガス供給孔が設けられている。
第3のガス供給経路には上流方向から順に水素を供給するための原料供給管55と、この原料の流量制御装置(流量制御手段)であるマスフローコントローラ44及び開閉弁であるバルブ35が設けられている。パージガスとして窒素供給管56がパージガス流量を制御するためのマスフローコントローラ45と開閉弁であるバルブ36を介し、キャリアガスを供給するキャリアガス供給管56が合流されている。また、第3のガス供給経路の先端部には、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間に、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、プラズマを発生するための電極330,331と共にバッファ室321内に設けられている。第3のノズル13の側面にはガスを供給する供給孔が設けられバッファ室内に原料である水素を供給する。電極330,331は高周波電源333と同調ユニット332と結ばれていてバッファ室内でプラズマを発生させる。バッファ室内では電極330,331は電極からの汚染を防止するために保護管318,319で覆われている。バッファ室321内で発生した原子状水素は(水素プラズマ)バッファ室ガス排出孔322を通って処理室201内に供給される。
処理室201は、ガスを排気する排気管であるガス排気管231によりバルブ243を介して排気装置(排気手段)である真空ポンプ19に接続され、真空排気されるようになっている。なお、このバルブ243は弁を開閉して処理室201の真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能となっている開閉弁である。ガス排気管231はヒータ21により覆われ加熱可能となっている。
反応管203内の中央部には、複数枚のウエハ200を多段に同一間隔で載置するボート217が設けられており、このボート217は、図示しないボートエレベータ機構により反応管203に出入りできるようになっている。また、処理の均一性を向上するためにボート217を回転するためのボート回転機構267が設けてあり、ボート回転機構267を駆動することにより、ボート支持台218に支持されたボート217を回転するようになっている。
基板処理装置には制御部(制御手段)の一例であるコントローラ300が備えられている。コントローラ300は、原料供給ユニット15,16、ヒータ21,22、マスフローコントローラ41,42,43,44,45、バルブ31,32,33,34,35,36,243、ヒータ207、真空ポンプ19、ボート回転機構267、ボートエレベータ115、高周波電源333、同調ユニット332等と接続されている。コントローラ300により、原料供給ユニット15,16の動作、ヒータ21,22の温度調整、マスフローコントローラ41,42,43,44,45の流量調整、バルブ31,32,33,34,35,36,243の開閉動作、圧力調整動作、ヒータ207の温度調整、真空ポンプ19の起動・停止、ボート回転機構267の回転速度調節、ボートエレベータ115の昇降動作、高周波電源333の動作、同調ユニット332の動作等が制御される。
次に、前述した処理炉202を用いた成膜処理例について説明する。
なお、本実施形態の処理炉202では、SiO2のようなケイ素酸化膜やHfO2のような金属酸化膜が成膜される。その材料として、SiO2用としてはTDMAS(トリス(ジメチルアミノ)シラン、SiH(NMe))、HfO2用として、TEMAH(テトラキス(メチルエチルアミノ)ハフニウム、Hf(NEtMe)4)、TDEAH(テトラキス(ジエチルアミノ)ハフニウム、Hf(NEt2)4)などのアミノ系原料などが用いられる。尚、Meはメチル基(CH3)、Etはエチル基(C2H5)をそれぞれ表している。又、金属化合物としてアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子を含む有機化合物や、前記原子の塩化物から選ばれた原料をも用いることができる。
以下では、ALD法を用いた成膜処理例について、半導体デバイスの製造工程の一つである、TDMASとオゾンと原子状水素を用いてSiO2膜を成膜する例を基に説明する。
ALD(Atomic Layer Deposition)法は、ある成膜条件(温度、時間等)の下で、成膜に用いる複数種類の原料となる反応性ガスを1種類ずつ交互に基板上に供給し、1原子単位で基板上に吸着させ、表面反応を利用して成膜を行う手法である。このとき、膜厚の制御は、反応性ガスを供給するサイクル数で行う(例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、20サイクル行う)。
ALD法では、例えばSiO2膜形成の場合、おおむね200〜600℃の低温で高品質の成膜が可能である。
まず、上述したようにウエハ200をボート217に装填し、処理室201に搬入する。ボート217を処理室201に搬入後、後述するステップを順次実行する。
(ステップ1)
バルブ243を開としてポンプ19により処理室内を真空に引いた後、バルブ31を開として第1のガスを処理室内に供給する。第1のガスであるTDMASは液体材料であるため液体原料供給ユニット15にて気化され流量制御される。一定時間バルブ31を開として原料を処理室内に供給して第1の原料を基板上に吸着させる。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。この後、バルブ31を閉とする。
(ステップ2)
その後、処理室内の第1の原料を排出(パージ)するためキャリアガスがキャリアガス供給管51から流れ、マスフローコントローラ41により流量調整される。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。
(ステップ3)
バルブ34を開として第2 のガスを処理室内に供給する。第2のガスであるオゾンはオゾン供給ユニット16にて流量制御される。一定時間バルブ34を開として原料を処理室内に供給する。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。この後、バルブ34を閉とする。
(ステップ4)
その後、処理室内の第2の原料を排出(パージ)するためキャリアガスをキャリアガス供給管52から流し、マスフローコントローラ42により流量調整する。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。
(ステップ5)
バルブ35を開として第3のガスを反応室内に供給する。第3のガスである水素はバッファ室内に設けられた電極により原子状水素(水素プラズマ)を発生する。この時電極に印加される高周波としては一般的には13.56MHzの周波数が用いられる。水素流量はマスフローコントローラ44にて流量制御される。一定時間バルブ35を開として原料を反応室内に供給して第3の原料を供給する。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。この後、バルブ35を閉とする。
(ステップ6)
その後、反応室内の第3の原料を排出(パージ)するためキャリアガスがキャリアガス供給管56から流れ、マスフローコントローラ45により流量調整される。このとき処理室201内の圧力は1〜10000Paとし、好ましくは26-266Paの範囲であって、例えば66Paに維持する。
ステップ1からステップ6を所望の膜厚が成膜されるまで繰り返した後、処理室201内を真空に排気して原料ガスを排出し、その後、パージガスにより大気圧に戻す。ステップ2,4,6や所望の膜厚の膜を形成した後は不活性ガスを、好ましくはヘリウム(He)、ネオン(Ne)、アルゴン(Ar)又は窒素(N2)を用いる。処理室201内を大気圧に戻したら、ボート217を処理室201から搬出する。
以上のように、複数の処理基板を多段に重ねて反応室の中に載置し、第1の原料(金属化合物原料)を供給した後に第2の原料(酸化原料)を供給し、しかる後に第3の原料(水素化原料)を交互に供給するALD(Atomic Layer Deposition)法により、酸化膜を成膜することにより、金属化合物原料の吸着を促進して表面平坦性が良く、ステップカバレッジの良好な金属酸化膜を得ることができる。
なお、上記成膜処理では、ステップ4のパージ工程を省略することも出来るし、ステップ3とステップ5とを入れ替えることも出来る。
また、ここでは、SiO2膜を形成する場合を例示したが、SiO2膜を含めて、Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2からなる群から選択される一つからなる金属酸化膜も形成することができる。
この場合、ステップ1で処理室201に供給される原料は、好ましくはアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子或いはケイ素原子を含む有機化合物や、前記原子の塩化物からなる群から選ばれた一つの原料であり、更に好ましくはM H[OCR1R2R3]m−n、 M H[N R4R5] m−nである。但し、Mはアルミニウム等の上記に記載の金属を示し、mは該金属の安定価数、nは0〜2の整数(Mが珪素以外の原子である場合には0を表す)、R1〜R5は水素または炭素数1から4の中間にエーテル結合を含んでも良いアルキル基である。
また、ステップ3で処理室201に供給される原料は、オゾン(O3)以外にも、過酸化水素(H2O2)、酸素或いは原子状酸素から選ばれた原料であってもよく、ステップ5で処理室201に供給される原料は、水素プラズマ以外にも、脱離水素を含む原料であってもよい。
更に、主にステップ1〜6で構成されるケイ素酸化膜あるいは金属酸化膜の形成工程では、ガスが供給される処理室201内の温度を好ましくは20℃以上、700℃以下とし、処理室201内の圧力を好ましくは1Pa以上、10,000Pa以下とする。
以上、本発明の好ましい実施例を説明したが、本発明の好ましい実施の形態によれば、
少なくとも1枚の基板を処理室内に搬入する工程と、
第1の原料である金属化合物あるいはケイ素化合物と、第2の原料である酸素原子を含む酸化原料と、第3の原料である水素化原料とを、前記処理室内に所定回数繰り返し供給して前記基板表面に金属酸化膜あるいはケイ素酸化膜を形成する工程と、
前記基板を前記処理室内から搬出する工程と、
を有する半導体デバイスの製造方法が提供される。
好ましくは、
前記第2の原料と前記第3の原料とを前記処理室内に供給することで前記基板表面の反応サイトをOH基に置換する。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記第1の原料、第2の原料、第3の原料を前記処理室内に供給するごとに、それぞれ前記処理室内を不活性ガスによりパージする。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記第1の原料と前記第3の原料とを前記処理室内に供給するごとに、それぞれ前記処理室内をパージガスによりパージし、
前記第2の原料を前記処理室内に供給した後は、前記処理室内をパージガスによりパージしない。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記第1〜第3の原料を、前記第1の原料、前記第2の原料、前記第3の原料の順序で、前記処理室内に供給する。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記第1〜第3の原料を、前記第1の原料、前記第3の原料、前記第2の原料の順序で、前記処理室内に供給する。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜は、Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2, SiO2からなる群から選択される一つからなる膜である。
好ましくは、
前記第1の原料は、金属化合物あるいはケイ素化合物としてアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子或いはケイ素原子を含む有機化合物や、前記原子の塩化物からなる群から選ばれた一つの原料である。
更に好ましくは、
前記第1の原料が、M H[OCR1R2R3]m−n、 M H[N R4R5] m−nである。
但し、Mは上記に記載の金属を示し、mは該金属の安定価数、nは0〜2の整数(Mが珪素以外の原子である場合には0を表す)、R1〜R5は水素または炭素数1から4の中間にエーテル結合を含んでも良いアルキル基である。
好ましくは、
前記第2の原料は、オゾン(O3)、過酸化水素(H2O2)、酸素或いは原子状酸素から選ばれた原料である。
好ましくは、
前記第3の原料は、脱離水素を含む原料或いは水素プラズマから選ばれた原料である。
好ましくは、
前記不活性ガスとしてヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、窒素(N2)を用いる。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記処理室内の温度を20℃以上、700℃以下とする。
好ましくは、
前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
前記処理室内の圧力を1Pa以上、10,000Pa以下とする。
本発明の他の好ましい実施の形態によれば、
少なくとも1枚の基板を処理する処理室と、
第1の原料である金属化合物あるいはケイ素化合物を前記処理室内に供給する第1の供給系と、
第2の原料である酸素原子を含む酸化原料を前記処理室内に供給する第2の供給系と、
第3の原料である水素化原料を前記処理室内に供給する第3の供給系と、
前記処理室内の雰囲気を排気する排気系と、
前記第1の供給系、前記第2の供給系、第3の供給系を制御して、前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に所定回数繰り返し供給させる制御部と、
を有する基板処理装置が提供される。
なお、明細書、特許請求の範囲、図面および要約書を含む2006年7月20日提出の日本国特許出願2006−198753号の開示内容全体は、本国際出願で指定した指定国、又は選択した選択国の国内法令の許す限り、そのまま引用してここに組み込まれる。
種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定されるものである。
以上説明したように、本発明の好ましい実施の形態によれば、金属酸化物の薄膜形成において上述したようなステップカバレッジやローディング効果の問題点を排除し、低温で短時間で金属化合物をウェーハ(段差)表面に吸着させ、表面平坦性、ステップカバレッジ(凹部埋めこみ性)に優れ、ローディング効果のない金属酸化物の薄膜形成方法および半導体デバイスの製造方法ならびに基板処理装置を提供することができる。
その結果、本発明は、半導体集積回路の製造方法において、半導体ウェーハにALD法により酸化膜を形成する技術に特に好適に利用できる。

Claims (14)

  1. 少なくとも1枚の基板を処理室内に搬入する工程と、
    第1の原料である金属化合物あるいはケイ素化合物と、第2の原料である酸素原子を含む酸化原料と、第3の原料である水素化原料とを、前記処理室内に所定回数繰り返し供給して前記基板表面に金属酸化膜あるいはケイ素酸化膜を形成する工程と、
    前記基板を前記処理室内から搬出する工程と、を有し、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に供給するごとに、それぞれ前記処理室内を不活性ガスによりパージする半導体デバイスの製造方法。
  2. 請求項1に記載の半導体デバイスの製造方法において、
    前記第2の原料と前記第3の原料とを前記処理室内に供給することで前記基板表面の反応サイトをOH基に置換する半導体デバイスの製造方法。
  3. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記第1の原料と前記第3の原料とを前記処理室内に供給するごとに、それぞれ前記処理室内をパージガスによりパージし、
    前記第2の原料を前記処理室内に供給した後は、前記処理室内をパージガスによりパージしない半導体デバイスの製造方法。
  4. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記第1〜第3の原料を、前記第1の原料、前記第2の原料、前記第3の原料の順序で、前記処理室内に供給する半導体デバイスの製造方法。
  5. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記第1〜第3の原料を、前記第1の原料、前記第3の原料、前記第2の原料の順序で、前記処理室内に供給する半導体デバイスの製造方法。
  6. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜は、Al ,TiO ,ZrO ,HfO ,Ta ,RuO ,IrO ,SiO からなる群から選択される一つからなる膜である半導体デバイスの製造方法。
  7. 請求項1に記載の半導体デバイスの製造方法において、
    前記第1の原料は、金属化合物あるいはケイ素化合物としてアルミニウム原子、チタン原子、ジルコニウム原子、ハフニウム原子、タンタル原子、ルテニウム原子、イリジウム原子或いはケイ素原子を含む有機化合物や、前記原子の塩化物からなる群から選ばれた一つの原料である半導体デバイスの製造方法。
  8. 請求項7に記載の半導体デバイスの製造方法において、
    前記第1の原料が、MH [OCR m−n 、MH [NR m−n である半導体デバイスの製造方法。
    (但し、Mは請求項8に記載の金属を示し、mは該金属の安定価数、nは0〜2の整数(Mが珪素以外の原子である場合には0を表す)、R 〜R は水素または炭素数1から4の中間にエーテル結合を含んでも良いアルキル基である)
  9. 請求項1に記載の半導体デバイスの製造方法において、
    前記第2の原料は、オゾン、過酸化水素、酸素或いは原子状酸素から選ばれた原料である半導体デバイスの製造方法。
  10. 請求項1に記載の半導体デバイスの製造方法において、
    前記第3の原料は、脱離水素を含む原料或いは水素プラズマから選ばれた原料である半導体デバイスの製造方法。
  11. 請求項1に記載の半導体デバイスの製造方法において、
    前記不活性ガスとしてヘリウム、ネオン、アルゴン、窒素を用いる半導体デバイスの製造方法。
  12. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記処理室内の温度を20℃以上、700℃以下とする半導体デバイスの製造方法。
  13. 請求項1に記載の半導体デバイスの製造方法において、
    前記金属酸化膜あるいはケイ素酸化膜を形成する工程では、
    前記処理室内の圧力を1Pa以上、10,000Pa以下とする半導体デバイスの製造方法。
  14. 少なくとも1枚の基板を処理する処理室と、
    第1の原料である金属化合物あるいはケイ素化合物を前記処理室内に供給する第1の供給系と、
    第2の原料である酸素原子を含む酸化原料を前記処理室内に供給する第2の供給系と、
    第3の原料である水素化原料を前記処理室内に供給する第3の供給系と、
    前記処理室内の雰囲気を排気する排気系と、
    前記第1の供給系、前記第2の供給系、前記第3の供給系を制御して、前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に所定回数繰り返し供給させ、前記第1の原料、前記第2の原料、前記第3の原料を前記処理室内に供給するごとに、それぞれ前記処理室内を不活性ガスによりパージさせる制御部と、
    を有する基板処理装置。
JP2008525899A 2006-07-20 2007-07-19 半導体デバイスの製造方法及び基板処理装置 Active JP5171625B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008525899A JP5171625B2 (ja) 2006-07-20 2007-07-19 半導体デバイスの製造方法及び基板処理装置

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2006198753 2006-07-20
JP2006198753 2006-07-20
PCT/JP2007/064236 WO2008010546A1 (fr) 2006-07-20 2007-07-19 Procédé de fabrication de dispositif semiconducteur et appareil de traitement de substrat
JP2008525899A JP5171625B2 (ja) 2006-07-20 2007-07-19 半導体デバイスの製造方法及び基板処理装置

Publications (2)

Publication Number Publication Date
JPWO2008010546A1 JPWO2008010546A1 (ja) 2009-12-17
JP5171625B2 true JP5171625B2 (ja) 2013-03-27

Family

ID=38956878

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008525899A Active JP5171625B2 (ja) 2006-07-20 2007-07-19 半導体デバイスの製造方法及び基板処理装置

Country Status (4)

Country Link
US (1) US7981815B2 (ja)
JP (1) JP5171625B2 (ja)
KR (1) KR101060633B1 (ja)
WO (1) WO2008010546A1 (ja)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5177660B2 (ja) * 2008-03-06 2013-04-03 独立行政法人産業技術総合研究所 絶縁膜の形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
KR101512880B1 (ko) * 2011-05-18 2015-04-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
FI125222B (en) 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
KR101448025B1 (ko) * 2013-08-26 2014-10-08 주식회사 원익큐엔씨 규소 함유 소재의 본딩 방법
KR101448026B1 (ko) * 2013-08-26 2014-10-08 주식회사 원익큐엔씨 유기 용제를 사용한 규소 함유 소재의 본딩 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10458016B2 (en) 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523185B2 (ja) 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004523885A (ja) * 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
JP2006161061A (ja) * 2004-12-02 2006-06-22 Sony Corp 薄膜の形成方法および半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004523885A (ja) * 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
JP2006161061A (ja) * 2004-12-02 2006-06-22 Sony Corp 薄膜の形成方法および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2008010546A1 (fr) 2008-01-24
KR20080096521A (ko) 2008-10-30
KR101060633B1 (ko) 2011-08-31
US20090053906A1 (en) 2009-02-26
JPWO2008010546A1 (ja) 2009-12-17
US7981815B2 (en) 2011-07-19

Similar Documents

Publication Publication Date Title
JP5171625B2 (ja) 半導体デバイスの製造方法及び基板処理装置
JP4753841B2 (ja) 半導体デバイスの製造方法
US8808455B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP4988902B2 (ja) 半導体デバイスの製造方法及び基板処理装置
JP5774822B2 (ja) 半導体デバイスの製造方法及び基板処理装置
US9466477B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
KR101307794B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
TW201308488A (zh) 膜形成方法及膜形成設備
KR20120024384A (ko) 성막 방법 및 성막 장치
JP4836761B2 (ja) 半導体デバイスの製造方法
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2016181545A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2011093203A1 (ja) 半導体装置の製造方法、基板処理装置及び半導体装置
JP6604801B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2012134311A (ja) 半導体デバイスの製造方法及び基板処理装置
JP4563113B2 (ja) シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
JP5460775B2 (ja) 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
JP5350329B2 (ja) 半導体デバイスの製造方法および基板処理装置
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
TWI773502B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP2022083561A (ja) 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法
JP2012054311A (ja) 半導体装置の製造方法及び基板処理装置
JP2010206218A (ja) シリコン酸化膜の形成方法
JP2011155033A (ja) 半導体デバイスの製造方法および半導体デバイス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121211

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121225

R150 Certificate of patent or registration of utility model

Ref document number: 5171625

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313115

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250