KR100648238B1 - 웨이퍼 핸들링 시스템 - Google Patents

웨이퍼 핸들링 시스템 Download PDF

Info

Publication number
KR100648238B1
KR100648238B1 KR1020010060052A KR20010060052A KR100648238B1 KR 100648238 B1 KR100648238 B1 KR 100648238B1 KR 1020010060052 A KR1020010060052 A KR 1020010060052A KR 20010060052 A KR20010060052 A KR 20010060052A KR 100648238 B1 KR100648238 B1 KR 100648238B1
Authority
KR
South Korea
Prior art keywords
cassette
foup
handler
wafer
open
Prior art date
Application number
KR1020010060052A
Other languages
English (en)
Other versions
KR20020025051A (ko
Inventor
잰 진거
크리스티아누스지.엠. 드리더
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20020025051A publication Critical patent/KR20020025051A/ko
Application granted granted Critical
Publication of KR100648238B1 publication Critical patent/KR100648238B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 웨이퍼용 시스템은 200mm 웨이퍼 및 300mm 웨이퍼용 카세트들을 선택적으로 처리하는 데에 적합하다. 본 시스템은 처음에는 표준 300mm FOUP 카세트를 처리하도록 구성되었지만, 200mm 웨이퍼 개방 카세트를 처리하도록 하기 위한 장치로서, 입/ 출력 플랫폼에 그러한 카세트를 수령하는 로드 포트 어댑터 프레임; 카세트 핸들러 말단 작동기상에 거꾸로 장착하기 위해 구성되고 또한 200mm 개방 카세트를 수령하도록 구성된 카세트 핸들러 어댑터; 300mm FOUP 저장 격실을 200mm 개방 카세트 저장 격실로 바꾸는 저장 어댑터 프레임; 및 웨이퍼 핸들러로 카세트 이송 플랫폼 상에 200mm 개방 카세트들을 홀딩하여, 그러한 카세트들을 인터페이스로 옮기는 환적 FOUP를 포함한다. 환적 FOUP는 표준 300mm 카세트와 유사한 외부면을 가지고 있으나 그 안에 200mm 개방 카세트를 수령하도록 구성되어 있다.

Description

웨이퍼 핸들링 시스템{WAFER HANDLING SYSTEM}
도 1은 종래기술에 따른 구조로서, 300mm 웨이퍼 처리 시스템의 사시도,
도 2는 도 1에 따른 시스템의 개략적 평면도,
도 3은 본 발명의 바람직한 실시예에 따라 구성된 시스템의 개략적 평면도,
도 4는 개방 카세트가 없는 바람직한 실시예에 따른 구성으로서, 환적 FOUP 카세트의 정면/좌측/상부 사시도,
도 5는 도 4의 환적 FOUP 카세트에 개방 카세트가 위치된 정면/좌측/상부 사시도,
도 6은 도 5의 환적 FOUP 카세트기의 정면/저부 사시도,
도 7a은 도 3의 시스템의 입/출력 스테이션의 개략적 평면도,
도 7b는 도 3의 시스템의 입/출력 스테이션의 개략적 배면도,
도 8은 본 발명의 바람직한 실시예에 따른 구성으로서, 카세트 말단 작동기의 상부평면도,
도 9는 도 8의 카세트 말단 작동기의 우측면도,
도 10은 본 발명의 바람직한 실시예에 따라 구성된 카세트 핸들러 어댑터의 상부 평면도,
도 11은 도 10의 카세트 핸들러 어댑터의 우측면도,
도 12는 도 10과 11의 카세트 핸들러 어댑터와, 200mm 카세트와 결합한 카세트 핸들러의 상부 평면도,
도 13은 도 12의 카세트 핸들러, 카세트 핸들러 어댑터 및 200mm 카세트의 우측면도,
도 14a 내지 14d는 플랫폼 스토링 카세트의 상부평면 및 배면도,
도 15는 카세트 센서 장치를 가진 도 14의 플랫폼의 상부 평면도,
도 16a는 본 발명의 바람직한 실시예에 따라 구성된 카세트 이송 플랫폼의 상부 평면도,
도 16b는 도 16a의 카세트 이송 플랫폼의 정면/우측/상부 사시도,
도 17a와 17b는 바람직한 실시예로 환적 FOUP내로 개방 카세트가 로딩되는 동작을 보여주는 우측면도이다.
본 발명은 반도체 웨이퍼 처리에 사용되는 처리 툴(tool)에 관한 것으로서, 더 구체적으로는 300mm 웨이퍼 처리용으로 구성하되, 그 내에서 웨이퍼를 FOUP 카세트들에 공급하는 웨이퍼 처리 툴에 관한 것이다.
처리 툴에서 반도체 웨이퍼가 처리될 때, 처리 툴은 처리될 웨이퍼의 사이즈에 맞추어 최적화되어 있다. 웨이퍼의 사이즈는 한정된 수의 여러 가지 사이즈로 표준화되어 있고, 지난 수십 년간 효율의 증대를 위해 사이즈가 증가되는 경향이 있어왔다. 가장 최근에 도입된 세 가지의 웨이퍼 사이즈는 직경 150mm, 200mm 및 300mm이다.
주어진 웨이퍼 제조 설비는 통상적으로 하나의 웨이퍼 사이즈를 처리하도록 구성되어있다. 그러나 R&D, 파일럿 생산이나 새로운 생산기술의 실행 시, 다양한 웨이퍼 사이즈를 선택적으로 처리할 수 있거나, 상이한 웨이퍼 사이즈를 처리할 수 있도록 용이하게 재구성할 수 있는 툴이 필요하다. 과거에, 웨이퍼를 파지하는 개방 카세트를 사용할 때 이것은 주요한 문제가 아니었다. 웨이퍼 핸들링 장치는 각 개방 카세트들의 내부 혹은 외부로 사이즈가 상이한 웨이퍼들을 취급관리 할 수 있도록 용이하게 구성될 수 있다. 그러나, 폐쇄된 300mm 웨이퍼용 FOUP 카세트를 사용하면 웨이퍼 핸들링 시스템 및 카세트 핸들링 시스템에 특정의 구성들이 요구되므로 동일 시스템 내에서 선택적으로 200mm 개방 카세트를 취급할 수 없게 된다.
따라서 언급한 기술분야의 시설에는 다양한 사이즈의 기판을 취급할 수 있는 시스템이 요구된다.
따라서 본 발명의 목적은, 동일 시스템 내에서 다양한 사이즈의 웨이퍼를 용이하게 취급하기 위해 재구성되는 툴을 제공하는 데에 있다.
이 툴 세트는 300mm FOUP 카세트 핸들러를 수용하는 카세트 핸들러 어댑터(adapter)를 가지고 200mm 카세트를 처리하는 시스템을 제공하며, 본 시스템은 필요시 카세트 저장 위치에서 카세트를 자동적으로 집을 수 있는 상기와 같은 툴 프로그램을 제공하는 것을 목적으로 한다.
300mm 웨이퍼 처리용으로 설계되고, 300mm FOUP 카세트를 공급하는 본 발명에 따른 시스템에는, 웨이퍼와 카세트가 이송되는 동안 200mm 카세트를 수용하는 툴세트가 마련되어 있다. 이 툴세트 내부에는 200mm 개방 카세트 및 300mm FOUP 카세트를 수령하도록 설계된 "환적 FOUP(Transhipment FOUP)"카세트가 있다. 여기서 용어 "환적 FOUP"는 200mm 개방 카세트와 표준 300mm FOUP 카세트를 식별하는 표지로서 사용된다. 200mm 웨이퍼를 처리할 필요가 있을 때, 카세트 핸들러는 환적 FOUP 내부에 200mm 카세트 핸들러를 적치하고, 그리고 나서, 200mm 카세트가 수용된 환적 FOUP는, 웨이퍼 핸들링 장치와 작동 연결관계로 들어간다. 본 발명의 다른 양상에 따르면, 이 툴 세트는, 카세트 핸들러 어댑터를 가지고 있어 300mm FOUP 카세트 핸들러를 가지고 200mm 카세트를 처리할 수 있다. 본 시스템은 필요시, 상기 툴들이 카세트 저장 위치에서 카세트를 자동적으로 집어 올릴 수 있도록 프로그램되어 있다.
먼저, 도 1과 2를 참고로 해서, 종래 기술에 따른 반도체 처리 시스템에 대해 설명한다. 다음의 도면 및 그에 대응하는 내용은 바람직한 실시예가 특정 웨이퍼 처리 시스템에 어떠한 방식으로 적용되는지를 하기에 설명한다. 그러나 당업자는 여기에서 언급하는 카세트의 원리와 이점을 쉽게 인식할 것이며, 그로부터 적어도 일부 자동화된 카세트 및 웨이퍼 핸들링의 다른 처리 시스템에도 용이하게 적용할 수 있을 것이다.
도 1은 종래 기술에 따라 300mm FOUP 카세트가 공급되는 300mm 웨이퍼용 웨이퍼 처리 시스템을 개략적이고 부분적으로 나타낸 사시도를, 도 2는 평면도를 나타낸 것이다. 참조번호 1은 전체적인 웨이퍼 처리 시스템을 가리킨다. 이러한 시스템(1)은 수용부(2)를 가지며 일반적으로 소위 "클린 룸" 내에 설치된다. 또한 수용부(2)이외에 격벽들(3, 4 및 5)도 존재한다. 수용부(2)는 격벽(3)에 의해 처리영역 또는 챔버(21)로 구획된다. 여기에서는 처리영역(21)에 수직 도가니들(6, 7)이 있다. 수용부(2)와 격벽들(3 및 4)은 웨이퍼 핸들링 챔버(22)를 구성한다. 카세트 이송 챔버(23)는 수용부(2)와 격벽들(4 및 5)사이에 구성되어 있다. 참조번호 33은 시스템(1)의 내외로 카세트를 이송하는 입/출력 스테이션을 가리킨다.
웨이퍼(13)는 입/출력 스테이션(33)에 적치된 카세트(10)에 공급된다. 카세트 핸들링 장치(31)는 폐쇄가능한 개구(34)를 통해, 입/출력 스테이션(33)으로부터의 카세트(10)를 카세트 이송 챔버(23)내에 위치한 카세트 저장부(8)로 이송한다. 카세트(10)가 저장된 카세트 저장부(8)에는 복수의 회전 플랫폼(27)이 적층되어 있다. 카세트 핸들링 장치(31)는 승강기(35)에 의해 수직으로 이동하여 다른 플랫폼(27)에 도달할 수 있다. 카세트 핸들링 장치(31)에는 카세트 말단 작동기(32)가 마련되어 있으며, 회전 플랫폼(27)의 일련의 컷아웃(cutout)(26) 보다 다소 작은 직경을 갖는다. 카세트 핸들링 장치(31)가 카세트를 저장부(8)내로 이송시킬 때, 말단 작동기(32)는 하나의 플랫폼(27) 내의 하나의 컷아웃(26)을 통해 하향되어, 플랫폼(27) 상에 카세트를 적치한다. 그리고 나서 카세트 핸들러(31)는 카세트 저장부(8)로부터 철수된다. 카세트 핸들링 장치(31)는 입/출력 스테이션(33)과 저장부(8) 사이에 카세트를 이송시키도록 구성된다. 이 장치(31)는 저장부(8)와 회전가능한 카세트 이송 플랫폼(30)사이, 또는 입/출력 스테이션(33)과 회전가능한 카세트 이송 플랫폼(30)사이에 카세트를 이송할 수도 있다.
회전가능한 카세트 이송 플랫폼(30)은, 카세트 이송 챔버(23)와 웨이퍼 핸들링 챔버(22)사이에 있는 격벽(4)에 카세트를 적치하도록 회전하는 구조로 되어있다. 격벽(4)에는, 참조번호 37에 의해 개략적으로 나타낸 인터페이스(interface)를 함께 형성하는 클로저(closure)와 클로저 메카니즘이 마련되어 있다. 인터페이스(37)는 300mm FOUP 카세트를 위해 구성된다. 격벽(4)의 인터페이스(37)에 카세트를 적치한 후, 클로저 메카니즘은 FOUP 카세트의 클로저를 쥐고 여는 동시에 격벽(4)의 클로저와 FOUP 카세트의 클로저를 개방한다.
웨이퍼 핸들링 챔버(22)의 웨이퍼 핸들링 장치(24)는, 당해 카세트와 웨이퍼 보트(12)사이에 웨이퍼를 이송한다. 웨이퍼 보트(12)위에 웨이퍼를 로딩한 후, 보트 이송 아암(16)은 격벽(3)의 폐쇄가능한 개구를 통해 웨이퍼 보트(12)를, 웨이퍼 핸들링 챔버(22)에서 처리 챔버(21)로 이송한다. 처리 챔버(21)에는 회전 보트 이송 플랫폼(11)이 마련되어 있어 웨이퍼 보트(12)를 지지한다. 처리 챔버(21)내에는 도가니들(6, 7)을 가진 두개의 반응기가 정렬되어 있다. 도가니들(6, 7)은 수직으로 위치하고, 웨이퍼(13)가 충진된 웨이퍼 보트(12)는 수직방향으로 아래에서부터 도가니들(6, 7)로 도입된다. 각 도가니(6, 7)의 말단에는 수직방향으로 이동하는 삽입 아암(14)이 있다. 도 1에는 하나의 삽입 아암(14)만이 도시되어있다.
대량의 웨이퍼의 처리는 다음과 같이 수행될 수 있다: 도 1에 개략적으로 나타난 운전자는 입/출력 스페이션(33)에 복수의 카세트(10)를 도입해 저장부(8)를 로드(load)하고 제어 패널(36)로 제어 작동을 수행한다. 카세트 핸들링 장치(31)의 도움으로 각 카세트(10)는, 입/출력 스테이션(33)에서 저장 격실(9)로 이송되며, 저장 격실(9)은 특히 회전 플렛폼들(27)이 적층된 저장부(8) 내의 카세트로 구성된다. 저장부(8)의 회전과 승강기(35)의 사용에 의해 다양한 격실에 카세트(10)를 채우는 것이 가능하다. 저장부(8)에 카세트(10)가 채워진 후에는, 이러한 자동 장치로 인해 더 이상 사람의 간섭이 불필요하다.
그리고 나서, 카세트 핸들러 장치(31)는 당해 카세트(10)를 저장부(8)에서 제거하여 카세트 이송 플랫폼(30)에 적치한다. 도 1에 개략적으로 나타나있는 바와 같이, 카세트 이송 플랫폼(30)은 두개의 레벨을 가지고 있고, 각각의 레벨은 FOUP 카세트를 수령할 수 있으며, 각 두개의 레벨은 서로 개별적으로 회전할 수 있다. 카세트는 카세트 이송 플랫폼(30)의 회전으로 격벽(4)에 적치된다. 격벽(4)의 클로저(37)와 함께 FOUP 카세트의 클로저가 개방된 후, 웨이퍼는 웨이퍼 핸들러(24)에 의해 제거되어 웨이퍼 보트(12)에 적치된다. 웨이퍼 보트(12)가 채워진 다음에는 반응기들(6, 7) 중의 하나가 이용되기 시작하고, 격벽(3)의 클로저(19)는 개방되며 웨이퍼 보트(21)는 보트 이송 아암(16)에 의해 회전 보트 이송 플랫폼(11) 상에 적치된다. 그리고 나서, 보트 이송 플랫폼(11)은 처리 챔버(21) 내의 웨이퍼 보트(12)를 로드될 반응기 하부 위치로 이동시킨다. 이에 삽입 메카니즘 또는 승강기(14)는 반응기(6 또는 7)에 보트를 이동시킨다. 처리된 웨이퍼는 위쪽으로 동작 카운터를 수행한다.
이 시스템은 공개된 PCT WO 99/38199에 더 구체적으로 나타나 있으며, 이 시스템의 내용을 본 명세서에 참고로 반영한다. 비록 운전자가 입/출력 스테이션(33)에 카세트를 도입하지만, 이 시스템은 자동 가이드 전달수단(AGV, automatic guided vehicle) 또는 오버헤드 호이스트 시스템(overhead hoist system)에 의해 입/출력 스테이션에 카세트가 도입되도록 설계되었다. 이러한 경우에, 웨이퍼 처리 시스템의 제어 시스템은 제어 기능을 수행하는 호스트 컴퓨터 시스템에 연결된다. 이러한 방법은 웨이퍼 처리 시스템에 인간의 간섭이 전혀 필요 없다.
도 3은 도 1과 2에 따른 시스템을 나타내며 추가로 본 발명의 구성들을 보여준다. 먼저 언급한 시스템에 대응하는 구성들은 유사한 참조번호를 참조한다. 이 시스템(1)에는 카세트를 받는 입/출력 스테이션(33)이 있으며, 입/출력 스테이션은 300mm FOUP 카세트(212) 또는 200mm 개방 카세트(112)를 선택적으로 받을 수 있도록 구성되어 있다. 오히려 하나의 카세트 타입에서 다른 타입으로 바뀔 때 기계적 조정이 필요하지 않다. 도 3에 도시된 입/출력 스테이션(33)의 실시예는 도 7a와 도 7b에 더 자세히 묘사되어 있는데, 두개의 입/출력 위치에는 스테이션(33)이 마련되어 있고, 하나는 300mm FOUP 카세트(212)를 수령하며, 다른 하나는 200mm 개방 카세트(112)를 수령하도록 구성되어 있다. 다른 실시예로는, 하나의 입/출력 위치가 어떠한 기계적 조정없이 선택적으로 300mm FOUP 카세트 또는 200mm 개방 카세트를 수령하도록 마련될 수 있다.
또, 이 시스템(1)에는 입/출력 스테이션(33)에 인접하여 카세트 이송 영역이 마련되어 있다. 이 실시예에서 카세트 이송 영역은 수용부(2)와, 격벽 (4, 5)에 의해 구성된 이송 챔버(23)에 의해 한정된다. 따라서 카세트 이송 영역은 카세트 저장부(8)를 가지며 이로 인해 많은 수의 카세트를 로드할 수 있어, 시스템(1)의 외부 환경인 클린 룸에서 계속적으로 카세트를 회수하고 반송하는 것을 필요로 하지 않고 처리하는 동안 효과적으로 접근 할 수 있다.
카세트 이송 영역은 또한 카세트 이송 메카니즘을 수용한다. 이 실시예에서, 이송 메카니즘은 카세트 핸들러(31)와 카세트 이송 플랫폼(30)을 가진다. 카세트 핸들러(31)는, 카세트 저장부(8)의 복수의 회전 플랫폼(27)에 접근할 수 있으며 입/출력 스테이션(33), 카세트 저장부(8) 및 카세트 이송 플랫폼(30)사이에 카세트를 이송할 수 있는 승강기(35)를 가진다. 한편으로 카세트 이송 플랫폼(30)은 카세트 이송 챔버(23)와 웨이퍼 핸들링 챔버(22)사이에 있는 인터페이스(37)와 작동적으로 연통되어 카세트를 적치하고, 웨이퍼 핸들링 로봇(24)은 웨이퍼를 제거 또는 복귀시킨다. 이 실시예에 있어서, 카세트 핸들러(31)는 개구외측에서 카세트를 이송함으로서 회전 플랫폼(27)의 중앙에 직면한 좁은 카세트 단부를 가진 원형 저장부(8)에 효과적인 저장이 되도록 돕는다. 따라서 카세트 이송 플랫폼(30)은 카세트 핸들러(31)로부터 수령한 카세트를 교환할 수 있게 구성되어, 개구측이 인터페이스(37)에 직면할 수 있다.
카세트 이송 영역내의 동작은, 중앙 처리 장치(CPU)와 그로인한 프로그램을 갖는 카세트 핸들러 제어기에 의해 제어된다. 특히, 카세트 핸들링 제어기는 카세트 저장부(8)내에서의 회전 플랫폼의 회전, 카세트 이송 플랫폼(30)의 회전 및 입/출력 스테이션(33)의 회전 등 카세트 핸들러(31)의 모든 동작을 지시한다. 센서들(아래에 설명) 또한 이 제어기와 연결되어 있다.
도 1과 도 2에서의 카세트 핸들러(31)에는 300mm FOUP 카세트를 운반하기 위해 구성된 말단 작동기가 마련되어 있다. 바람직한 실시예에서는, 200mm 개방 카세트(112)를 처리하기 위한 카세트 핸들러(31)의 말단 작동기(32)가 더 마련되어 있다. 이러한 목적을 위해, 바람직한 시스템(1)에는 카세트 핸들러 어댑터(400)가 마련되어 있고, 이것은 200mm 카세트들을 수용하기 위한 툴 세트의 일부이며, 이에 대해서는 도 8 내지 13에 더 상세히 아래서 설명되어 있다. 카세트 핸들러 어댑터는 카세트 핸들러(31)의 베어링면(32)에 결합하여 200mm 개방 카세트를 수용하고, 카세트 핸들러 어댑터가 사용되지 않을 때는 이 어댑터 판에 저장하기 적당하게 설계되었다.
200mm 개방 카세트(112)를 처리할 때는, 카세트 핸들링 제어기가 카세트 핸들러(31)에 지시하여 저장부로부터 자동적으로 카세트 핸들러 어댑터(400)를 집게하고, 카세트 핸들러 어댑터(400)로 카세트 핸들러(31)의 베어링 면이나 말단 작동기(32)에 200mm 카세트(112)를 이송하게 한다. 저장 또는 파킹 위치에 카세트 핸들러 어댑터를 저장하는 대신에, 카세트 핸들러 상에 카세트 핸들러 어댑터를 영구적으로 장착할 수 있다. 다른 방법으로는, 카세트 핸들러 어댑터를 카세트 핸들러에 활주이동하거나 회전가능하게 부착할 수 있다. 또 다른 대안으로, 카세트 핸들러 어댑터는 예를 들어, 카세트 핸들러에 힌지식으로 부착될 수 있어, 200mm 개방 카세트를 처리하기 위한 작동 위치와, 300mm FOUP 카세트를 카세트 핸들러 상에 직접적으로 처리 할 수 있는 부작동 위치 사이에서, 카세트 핸들러 어댑터가 플립(flip)되거나 조정될 수 있다.
바람직한 실시예는 또한, 표준 300mm FOUP가 사용된 본래의 밀봉된 환경에서와 같은 웨이퍼 핸들링 장치에 300mm FOUP 또는 200mm 개방 카세트를 동작적으로 연결하여, 선택적으로 이송하기 위한 구조 및 방법을 제공한다. 이러한 목적을 위해, 시스템(1)내부에는 200mm 카세트(112)를 받아들기 위해 설치된 소위 "환적 FOUP"(100)라고 불리는 것이 마련되어 있으며, 이것은 200mm 카세트들을 수용하기 위한 툴 세트의 일부이며, 이에 대해서는 하기의 도 5와 6에 더 자세히 언급되어 있다. 표준 FOUP들과 달리, 환적 FOUP(100)에는 클로저가 마련되어 있지 않다. 환적 FOUP(100)의 임계적인 외부직경은 대체적으로 표준 FOUP와 동일하다.
200mm 웨이퍼의 처리가 필요할 때, 카세트 핸들링 제어기는, 카세트 핸들러(31)가 환적 FOUP(100)를 집어 저장 위치로부터 카세트 이송 플랫폼(30)에 적치시킬 것을 지시한다. 그리고 나서 카세트 핸들러(31)는 카세트 핸들러 어댑터(400)(도 8 내지 13)를 저장 위치로부터 집어 설치한후, 200mm 카세트(112)를 집는다. 그 후, 핸들러(31)는 200mm 카세트(112)를 환적 FOUP(100)(도 17 참조) 내에 이송, 위치시킨다. 환적 FOUP(100)는 카세트 이송 플랫폼(30)의 회전에 의해 격벽(4)과 인터페이스(37)의 클로저 개구 뒤에 위치하고, 200mm 카세트를 가진 환적 FOUP(100)는 핸들링 챔버(22) 내의 웨이퍼 핸들러(24)에 작동적으로 연결된다. 웨이퍼 핸들러(24)가 200mm 카세트의 200mm 웨이퍼를 처리하는 데는 특별한 방법이 요구되지 않는다. 웨이퍼의 중앙 위치가 있는 웨이퍼 핸들러(24) 및 그들의 상호 공간을 계획하는 것에 의해 300mm 웨이퍼 처리가 완성된다.
처리챔버(21) 내에서 300mm 웨이퍼 처리 도가니는 위치(60)보다 위에 있고, 200mm 처리 도가니는 보트 이송 플랫폼 또는 턴테이블(11)의 위치(70)보다 위에 있다. 이 경우 도가니를 가진 처리챔버는 본 발명과 관련이 없다. 처리영역은 어떠한 처리 툴이라도 가질 수 있으며, 또는 처리 툴 대신에 하나 이상의 도량 툴(metrology tool)을 가질 수 있다. 후의 경우에서, 웨이퍼 처리는 웨이퍼의 분석으로 구성된다. 처리 챔버(21)를 완전히 없애고, 웨이퍼 분류 동작을 웨이퍼를 단지 "처리"만 하도록 형성하는 것 또한 가능하다. 이 경우 시스템은, FOUP 카세트가 웨이퍼 핸들러(24)에 작동적으로 연결될 수 있는 적어도 두 위치를 가질 것이다.
본 발명은 도 4 내지 17을 참조하여 하기에 더 자세히 설명된다. 시스템(1) 내에서 200mm 웨이퍼의 처리를 촉진하는 환적 FOUP(100)가 도 4내지 6에서 설명된다. 도 7a 및 7b는 입/출력 스테이션(33)에 적합하게 도시되어 있다. 도 8 내지 13에는 웨이퍼 핸들러의 말단 작동기(32), 카세트 핸들러 어댑터(400) 및 200mm 개방 카세트(112)를 수령하는 그들의 관계가 도시되어 있다. 도 14a 내지 15는 저장부(8)에 적합하게 도시되어 있다. 도 16a 및 16b는 회전가능한 이송 플랫폼(30)에 적합하게 도시되어 있다. 도 17은, 환적 FOUP 내의 200mm 개방 카세트(112)의 위치가 도시되어 있다. 도면을 통한 유사한 참조 번호들은 대응하는 부분들을 가리킨다.
도 4는 200mm 개방 카세트가 없는 환적 FOUP의 사시도를 보여준다. 환적 FOUP는 참조번호 100에 의해 전체적으로 나타내어지며 상부 판(101), 저부 판(102) 및 측벽(103)을 가진다. 측벽(103)들에는 창(104)들이 마련되어 있다. 정면 측에는 격벽(4)에 밀봉으로 위치되기에 적합한 플랜지 면(105)이 마련되어 있다. 내부에는 200mm 개방 카세트에 적합한 카세트 수령 판(110)을 가지는 환적 FOUP가 있다. 수령 판(110)은 다리(111)위에 구성되어 있고 수령 판(110)위에는 200mm 카세트를 수평으로 고정하는 카세트 위치 고정구(114)가 마련되어 있다. 환적 FOUP의 저부 기계적 인터페이스는, 표준 300mm FOUP의 것과 적어도 시스템(1)의 다른 요소와 결부되는 구성에 있어 동일하다. 유사하게, 환적 FOUP(100)의 다른 임계적인 외부 직경은 표준 FOUP 카세트 덮개의 직경이내로 한다.
환적 FOUP에는 또한 배출 파이프(121)가 마련되어 있고, 환적 FOUP(100)가 웨이퍼 핸들링 챔버(22)(도 3)에 동작적으로 결합할 때 동작되는 과압 완화 밸브(120)에 연결되어 있다. 환적 FOUP(100)에는 개구용 클로저가 마련되어 있지 않다. 도어의 부재에도 불구하고, 외부면은 표준 FOUP에 다른 방법으로 일치되기 때문에, 200mm "개방" 카세트와 구별되도록 "FOUP"로서 구성을 여기에 설명한다.
도 5를 참조하면, 환적 FOUP(100)는 카세트 수령판(110)위에 200mm "개방" 카세트(112)로 보여진다. 도 6은 세 개의 위치 홈(130)을 가진 환적 FOUP(100)의 저부(102)의 외부면과 세 개의 위치핀들의 결합을 보여주며, 이 핀들에 대해서는 도 8, 9 16a 및 16b를 참조하여 아래에서 설명한다. 이들 홈(130)들과 핀들의 위치와 직경은 반도체 산업에서 취급을 위해 표준화된다. 이 홈(130)들은 반경방향으로 확장되는 장홈들을 구성한다. 위치핀들과 결합한 이 홈(130)들은 표준 FOUP와 유사하게, 환적 FOUP(100)가 핀들상에서 중심을 잡는다.
도 7a 및 7b를 참고하면, 바람직한 실시예는 위에서 설명한 시스템(1)내로 200mm 카세트의 제공을 촉진하는데 적합한 입/출력 스테이션(33)을 제공한다. 도 7a는 상부에서 내려다본 도면이고 도 7b는 배면도(입/출력 스테이션(33)을 향해 시스템의 외부로부터 보여진다)이다. 입/출력 스테이션(33)에는 두개의 카세트 수령 위치(208 및 209)가 마련되어 있다. 수령 위치(209)는 300mm FOUP 카세트(212)를 수령하도록 구성되고, 위치(208)는 200mm 개방 카세트(112)를 수령하도록 구성된다.
수령 위치(209)에는 베어링면(201)이 마련되어 있고, 축(205) 주위를 회전할 수 있어, 카세트 핸들러(31)(도 3)가 카세트에 접근할 수 있게 한다. 베어링면(201)에는, 세미 표준 E47.1(SEMI STANDARD E47.1)에 따른 위치와 직경을 가진 세 개의 위치핀(202)이 마련되어 있고, 그리고 FOUP카세트에는 결합홈(130)(도 6)이 마련되어 있다. 각 이들 위치핀(202)가까이에는, FOUP 카세트(212)의 존재를 감지하기 위해 센서(203)가 마련되어 있다. 복수의 위치(204)는, 카세트가 입/출력 스테이션(33)으로부터 상승할 때, FOUP 카세트(212)에 접촉한 카세트 핸들러(31)의 위치핀(302)인 정점을 가리키는 도 7a에서 볼 수 있다.
200mm 개방 카세트를 수령하는 위치(208)는, 위치(209)에 대해 상기에서 설명한 바와 같이, 200mm 개방 카세트를 수용하기 위한 툴 세트의 일부인 추가의 로드포트어댑터프레임(210)을 갖는 300mm FOUP 카세트를 수령하는 위치로서 설계되었다. 로드포트어댑터프레임(210)에는 300mm FOUP카세트와 동일한 저부 기계적 인터페이스가 마련되어 있어 그것이 300mm FOUP 수령 위치(209)의 세 개의 위치핀(202)상에 결합될 수 있다. 이러한 방법에 의해, 수령 위치로부터 로드포트어댑터프레임(210)을 제거 또는 위치시켜줌으로써, 수령핀을 다른 카세트 타입으로 쉽게 재구성할 수 있다. 로드포트어댑터프레임(210)에는 200mm 카세트(112)의 위치에 고정되는 고정구와 200mm 카세트의 존재를 감지하는 센서(미도시)가 마련되어 있다. 다른 대안적인 실시예에서는, 수령 위치가 200mm 카세트, 또는 300mm 카세트를 같은 위치에서 수령할 수 있도록 설계될 수 있고, 센서에 의해 어떤 크기의 카세트가 존재하는지를 감지할 수 있다.
도 8(상부도) 및 도 9(측면도)를 참조하면, 카세트 핸들러(31)의 말단 작동기(32)가 도시되어 있다. 참조번호 32는 말단 작동기를 전체적으로 나타내며, 말단 작동기에는 300mm FOUP 카세트를 운반하는 베어링면(301)이 마련되어 있다. 베어링면(301)에는 FOUP 카세트를 집는 세 개의 위치핀(302)이 마련되어 있다. 위치핀(302)의 위치들은 입/출력 스테이션(33)의 이들 위치(204)(도 7a 및 7b)에 대응하고, 위치핀들(202)(도 7a 및 7b)의 위치와 비교하여 안쪽으로 위치 이동되어 있다. 두 위치핀(202(도 7a 및 7b) 및 302)은 이들 위치홈(130)이 방사방향으로 다소 연장되어 있으므로 FOUP 카세트의 저부판(102) 내의 위치홈(130)에 수용될 수 있다. 이러한 방법으로 FOUP 카세트는 입/출력 스테이션(33)의 외부 위치핀들(202)에 의해 지지되는 동안, 카세트 핸들러 말단 작동기(32)의 베어링면(301)위의 내부 위치핀들(302)에 의해 들어 올려질 수 있다.
말단 작동기 베어링면(301)에는 FOUP 카세트의 존재를 감지하는 세 개의 센서(303)가 마련되어 있다. 더 구체적으로, 말단 작동기 베어링면(301)에는, 카세트 핸들러 베어링면(301)의 카세트 핸들러 어댑터의 존재를 감지하는 실질적으로 사각 홀(305)과, 카세트 핸들러 어댑터 위에 200mm 카세트의 존재를 감지하는 두개의 실질적으로 사각 홀(304)이 마련되어 있으며, 이에 대해서는 아래에서 더 구체적으로 설명한다.
도 10(상부도) 및 도 11(측면도)을 참조하면, 카세트 핸들러 어댑터는 200mm 카세트를 처리하기 위해 마련된다. 참조번호 400은 카세트 핸들러 어댑터를 전체적으로 가리킨다. 카세트 핸들러 어댑터는 카세트 핸들러 말단 작동기(32)의 베어링면(301)에 결합되고(도 8), 베어링면(401)에 200mm 개방 카세트를 수령하기 위해 설계되었다. 이러한 목적을 위해 카세트 핸들러 어댑터(400)에는 카세트 핸들러의 말단 작동기(32)의 위치핀(302)과 결합하는 세 개의 위치홀(402)이 마련된다. 카세트 핸들러 어댑터(400)는 카세트 핸들러 말단 작동기(32)의 베어링면(301)상에 중력에 의해 놓여 있다.
카세트 핸들러 어댑터(400)가 카세트 핸들러 말단 작동기(32)에 적치될 때, 센서(303)가 작동하여 베어링면상의 물체의 존재를 감지한다. 그러나 센서(303)는 FOUP 카세트가 존재하는지 또는 카세트 핸들러 어댑터(400)가 존재하는지 구별할 수 없다. 카세트 핸들러 어댑터(400)가 존재하는지 명백하게 감지하기 위해서, 카세트 핸들러 어댑터(400)의 베어링면(401)에는 일단에 하향으로 뾰족한 베인(vane)을 가진 브라켓(405)이 마련된다. 베인은 베인 커버(408)가 베인의 위치를 가리고 있기때문에 도면에서는 보이지 않는다. 카세트 핸들러 어댑터(400)가 카세트 핸들러 말단 작동기(32)의 베어링면(301)에 적치될 때, 베인은 사각 홀(305)을 통해 고정되고, 도면에서는 보이지 않지만 베어링면(301) 바로 아래에 있는 사각 홀(305)에 인접한 센서를 작동시킨다. 베어링면(300)의 카세트 핸들러 어댑터(400)의 존재는 이러한 방법으로 감지된다.
더 구체적으로는, 카세트 핸들러 어댑터(400)에는 두개의 판 스프링(403)이 마련되어, 일 단부에 고정되어 있으며, 타 단부에는 베인(404)이 마련되어 있다. 200mm 개방 카세트가 카세트 핸들러 어댑터(400)의 베어링면(401)에 적치될 때, 베인(404)이 마련된 판 스프링(403)의 상향으로 뾰족한 단부는 사각 홀(304)을 통해 하향으로 압축된다. 홀(304)에 인접해서는 베인(404)의 존재 즉, 200mm 개방 카세트의 존재를 감지하는 센서(미도시)가 구성된다.
더 구체적으로는, 베어링면(401)에는 수평으로 200mm 개방 카세트가 고정된 고정구(406)가 마련된다. 200mm 개방 카세트는 베어링면(401)상에 중력에 의해 놓여있다. 또한, 카세트 핸들러 어댑터(400)에는 카세트가 이송되는 동안 웨이퍼가 개방 카세트의 외부로 이동하는 것을 방지하는 수직 바아(bar)(407)가 카세트의 개방측에 마련된다. 바아는 PEEKR 과 같이, 웨이퍼를 손상시키거나 오염시키지 않는 소재로 만들어진다. 커버(408)는 수직 바아(407)에 인접한 카세트 핸들러 어댑터(400)의 일단부를 덮는다.
300mm FOUP 카세트와 동일한 저부 기계적 인터페이스를 가진 카세트 핸들러 어댑터(400)는 사용되지 않을 때에는, 300mm FOUP 카세트의 저장을 위해 설계된 어떤 저장 위치에 저장될 수 있다. 이것은 저장부(8)내의 격실 또는 저장 위치(9)일 수 있다. 다른 예에서 더 자세하게 보이지는 않지만, 카세트 핸들러 어댑터는 카세트 핸들러에 영구적으로 구성될 수 있으나 사용되지 않을 때에는 기울여 놓거나 이동시킬 수 있다.
도 12(상면도) 및 도 13(측면도)에서는, 카세트 핸들러 어댑터(400)가 200mm 개방 카세트(112)를 수용하는 동안, 카세트 핸들러 어댑터(400)를 수용하는 카세트 핸들러 말단 작동기(32)가 도시되어 있다. 도 12 및 도 13의 구성은 도 8 내지 11에서 대응되는 부분들에 대해서는 동일 참조번호에 의해 참조된다. 웨이퍼(13)의 위치는 카세트(112) 내에 표시된다.
카세트용 저장부(8) 내에서, 다수의 300mm FOUP 카세트용 저장 위치들은 200mm 저장 위치로 유사하게 전환된다. 도 14a 내지 14d는 상부 및 측면도로, 저장부(8)의 플랫폼(27)을 나타낸다. 도 14a 및 14b는 300mm FOUP 카세트(212)를 수령하는 플랫폼(27)을 나타낸다. 플랫폼(27)은 네 개의 수령 위치를 가지고 각 위치는 세 개의 위치핀(502)을 가진다. 바람직한 실시예에서는 각각의 300mm FOUP 카세트용 수령 위치가 도 14c 및 도 14d에서 나타낸 바와 같이, 두 개의 200mm 카세트용 수령 위치로 변환될 수 있다. 이것은 200mm 카세트들을 수용하기 위한 툴 세트의 일부이며, 저장 수용판이라고 칭하는 저장 어댑터 프래임(503)을 갖는 300mm FOUP 카세트용 수령 위치를 제공함으로써 달성할 수 있다. 이 저장 어댑터 프래임(503)은 3개의 위치핀(502)에 고정되고 상부면에 두 개의 200mm 카세트를 수령하도록 설계된다. 200mm 카세트를 수령하도록 각 위치에는 200mm 카세트를 수평으로 고정하는 고정구(506)가 마련되어 있다. 회전가능한 저장부(8)의 중심에는 청결한 공기 공급 채널(510)이 마련되어 있으며, 자세하게 설명되어 있지는 않지만, 필터(511)가 플랫폼(27)의 각 200mm 격실에 구성될 수 있다. 저장 어댑터 프레임(503)을 사용함으로써, 하나 이상의 저장 어댑터 프레임(503)을 적치 또는 제거하는 것에 의해 다수의 200mm 저장 위치를 간단히 증가 또는 감소시킬 수 있다.
도 15는 도 14a내지 도 14d의 카세트 저장부(8) 내의 카세트의 감지를 개략적으로 나타낸다. 카세트 저장부(8)에 인접해서는 지주(550)가 카세트 저장부(8)의 전체 높이를 넘어 수직방향으로 연장되어 하우징과 단단히 부착되도록 구성된다. 이 지주(550)상에는 광학센서(551)가 각 겹쳐 쌓인 플랫폼(27)에 카세트의 존재 또는 부재를 감지하기 위해 구성된다. 각 저장 격실의 내부에서 판 스프링상에는 반사경이 구성된다. 참조번호 552는 반사경을 나타내고는 있으나 상세히 보이지는 않는다. 카세트가 존재하지 않을 때, 판 스프링에 구성된 반사경(552)은 광학 센서(551)에 의해 방출되는 광 빔을 반사하고, 센서(551)가 반사광을 감지한다. 반면 카세트가 존재할 때, 카세트의 저부가 판 스프링을 아래쪽으로 가압하여, 반사경(552)이 광빔 밖으로 이동하므로 방출되는 광이 센서(551)로 반사되지 않는다. 따라서, 센서가 신호를 감지할 때만, 격실이 비어있어 카세트를 로딩할 수 있음을 식별한다. 200mm 저장격실은 300mm 저장격실과 비교할 때, 플랫폼(27)에 대한 지주(550)의 센서(551) 높이와 정렬된 센서의 방향이 다르다. 지주(550), 지주(550) 상의 센서(551) 그리고 반사경(552)의 구성은, 회전 플랫폼(27) 상의 카세트 위치가 카세트 핸들러(31)에 직면하는 때에만 카세트의 존재가 감지될 수 있도록 서로 관련되어 있다(도 3). 그러나 이 위치는 감지와 가장 관련이 있다. 시스템이 시스템 내의 카세트의 궤도를 유지함에도 불구하고, 예를 들어 카세트가 이미 존재하는 위치에 카세트를 적치시키려는 시도를 방지하기 위해, 센서는 추가적인 점검과 안전을 제공한다. 이러한 감지 방식으로 함으로써, 회전가능한 카세트 저장 플랫폼(27)의 각 카세트 위치는 개별적인 센서(551)를 요구하지 않는다. 이것은 시스템의 복잡성을 심각하게 증가시킬 수 있는 회전공급로를 통해 제어기에 이르는 전기 와이어의 필요성을 제거한다. 또한, 도 15는 200mm 격실용 청결공기 공급채널(510)과 공기필터(511)를 더 자세하게 보여준다. 더 구체적으로는 실질적으로 필터의 전체적인 높이를 넘어 확장된 공기 안내 스트립(512)은 200mm 카세트의 각각의 중앙방향으로 공기를 안내하기 위해 제공된다.
도 16a 및 16b는 각 회전가능한 카세트 이송 플랫폼(30)을 상부에서 아래를 향하여 바라본 도와 사시도를 보여준다. 회전가능한 카세트 이송 플랫폼(30)은 베어링면(601)을 가진다. 베어링면(601)에는 컷 아웃(606)이 마련되어있어 카세트 핸들러(31)의 말단 작동기(32)의 수직 통과를 허용한다. 세 개의 위치핀(602)은 FOUP 카세트의 저부 내의 홈(130)(도 6)과 결합되기 위한 사이즈로 놓이며 센서는 FOUP 카세트의 존재를 감지한다. 3개의 센서(603)가 도 16a에 도시되어있다. 이것이 높은 잉여도와 안전을 제공하며, 감소된 수의 센서 또한 사용될 수 있다는 것이 명백할 것이다. 센서(603)들이 도 16b에는 보이지 않는다. 컷 아웃(606)의 개방측의 반대측에는, 센서 수용부(610)가 베어링면(601)에 구성되어 있다. FOUP 카세트에 직면한 측에의 센서 수용부에는 센서 내외로 감지 광을 통과시키기 위한 개방구(611)가 마련되어 있고, 센서는 센서 수용부 내에 구성되어 있어서 도면에는 보이지 않는다. 비어있는 환적 FOUP가 베어링면(601)상에 적치되면, 센서(603)는 그것의 존재를 감지한다. 그러나 센서(603)는 표준 FOUP의 존재와 환적 FOUP(100)를 구별할 수는 없다. 이러한 목적을 위해 센서 수용부(610) 내에 센서가 제공된다. 카세트 저장부(8) 내의 카세트의 존재의 감지와 유사한 감지 작업이 상기에 설명되어 있다. 통상의 FOUP 카세트가 카세트 이송 플랫폼(30) 상에 적치될 때, 센서 수용부(610)내의 센서에 의해 방출된 광은 홀(611)을 통과하여 센서의 후방으로 반사되기 보다는 단지 분산될 것이다. 따라서, 센서는 신호를 전달하지 못한다.
다른 한편으로, 환적 FOUP(100)(도 4 내지 6)의 경우 센서는 다음에 따르는 방법으로 신호를 전달할 것이다: 환적 FOUP(100) 내의 카세트 수령 판(110)에는 판 스프링 상에 구성된 반사경이 마련되어 적절하게 위치된다. 비어있는 환적 FOUP(100)가 카세트 이송 플랫폼(30) 상에 위치되면, 센서 수용부(610) 내의 센서에 의해 방출된 광은, 홀(611)을 통과하여 및 센서 수용부에 직면한 환적 FOUP의 벽(103) 내의 창(104)을 통과한 다음 반사경과 부딪친다. 반사경은 센서의 후방으로 광을 반사하고 센서 수용부(610)내의 센서는 반사된 광을 감지할 것이다. 센서는 제어기와 연결되어 있다. 센서(603)와 센서 수용부(610) 내의 센서가 동시에 동작될 때, 플랫폼(30) 상의 환적 FOUP의 존재는 명백하게 감지된다. 200mm 개방 카세트가 환적 FOUP 의 카세트 수용 판(110)에 계속해서 적치될 때, 판 스프링은 하부로 압력을 받고 판 스프링 상에 구성된 반사경은 광 외로 이동하여, 센서는 더 이상 신호를 감지하지 않는다. 이러한 작업순서에 의해, 환적 FOUP(100) 내부에 있는 200mm 카세트의 존재가 감지된다. 도 16a에서 보여지는 바와 같이, 플랫폼(30) 상의 카세트의 위치는 다소 불균형을 이룬다.
상기에서 주목하는 바와 같이, 카세트 이송 영역내의 동작은 중앙처리장치(CPU) 및 관련된 프로그램을 가지는 카세트 핸들링 제어기에 의해 제어된다. 제어기는 상기에서 설명한 센서와 연통하여, 필요할 때에는 카세트 핸들러(31)가 카세트 핸들러 어댑터(400)를 집고(그렇지 않으면 설치하고), 필요하지 않을 때에는 카세트 핸들러 어댑터(400)를 자동적으로 저장하도록 프로그램되어 있다. 유사하게, 카세트 핸들링 제어기는 200mm 웨이퍼가 200mm 카세트로부터 처리되는 것이 필요한 때, 카세트 핸들러(31)가 자동적으로 환적 FOUP(100)를 집고, 이미 그곳(제어기와 연통한 센서에 의해 판단된)에 없다면 카세트 이송 플랫폼(30)상에 적치시킨 다음, 핸들러(31)가 200mm 개방 카세트를 집고 환적 FOUP(100)내에 적치시키도록 프로그램되어 있다. 200mm 개방 카세트에 대한 "요구"와 거기에 저장된 웨이퍼는 미리 프로그램될 수 있고, 또는, 제어 패널(36)(도 1 참조)에서 사용자에 의해 명령될 수 있다.
동작에 있어서, 하나 이상의 200mm 개방 카세트(112)가 시스템(1)으로 도입될 필요가 있을 때의 절차는 다음과 같다: 200mm 카세트(112)가 수령 스테이션(33)의 200mm 위치(208)에 적치된다. 시스템(1)이 200mm 카세트(112)를 처리하기 위해 도입될 때, 카세트 핸들러 제어기는 200mm 카세트(112)가 처리되기 전에 카세트 핸들러(31)가 자동적으로 저장 위치로부터 카세트 핸들러 어댑터(400)를 집도록 프로그램된다. 그리고 나서 카세트 핸들러 어댑터(400)가 설치된 카세트 핸들러(31)가 입/출력 스테이션(33)의 수령 위치(208)로부터 카세트 저장부(8) 내의 200mm 저장 위치로 200mm 카세트를 이송한다. 그 다음에 하나 이상의 200mm 카세트가 필요한 순간마다 도입될 수 있다.
200mm 웨이퍼가 보트(12)에 로드될 필요가 있을 때 카세트 핸들러 제어기는 저장부(8)의 저장 위치로부터 카세트 이송 플랫폼(30)으로 환적 FOUP(100)를 이송하도록 카세트 핸들러(31)에 지시한다. 그러면, 카세트 핸들러(31)는 저장 위치로부터 카세트 핸들러 어댑터(400)를 집어 카세트 핸들러 어댑터(400)를 설치하고 200mm 카세트(112)(전형적으로는 저장부(8)로부터)를 회수하여 환적 FOUP(100) 내부의 카세트 수령 판(110) 상에 적치시킨다. 그리고나서 카세트 이송 플랫폼(30)은 환적 FOUP(100)를 회전시키고 격벽(4)을 향하여 적치시킨다. 격벽(4) 내의 인터페이스(37)의 클로저를 개방한 후 웨이퍼 핸들러(24)는, 200mm 개방 카세트로(112)부터 웨이퍼를 처리하기 위한 웨이퍼 핸들링 챔버(22)내의 200mm 웨이퍼 보트로 200mm 웨이퍼를 이송한다. 시스템은 로드될 웨이퍼의 크기에 대응하는 웨이퍼 보트 내에만 웨이퍼를 로드하고, 또한 웨이퍼 보트가 보트 크기(도 3 참조)에 대응하는 다른 툴이나 도가니로만 로드되도록 프로그램된다. 카세트(112)의 외부에 있는 200mm 웨이퍼의 이송이 완성되었을 때, 카세트 핸들링 제어기는 인터페이스(37)로부터 환적 FOUP(100)로 이송하기 위한 카세트 이송 플랫폼을 도입하고 카세트 핸들러(31)는 다른 카세트용 방을 만들기 위해 환적 FOUP(100)로부터 200mm 개방 카세트를 제거한다.
300mm 환적 FOUP 내부에 200mm 환적 카세트(Transhipment cassette)를 적치하는 과정은 도 17에 도시되어 있다. 카세트 핸들러 어댑터(400)가 설치된 카세트 핸들러(31)는 200mm 카세트(112)를 운반하기 위해 적당한 높이에서 환적 FOUP내로 이동한다. 카세트 핸들러(31)가 환적 FOUP(100)로 정확한 거리에서 도달할 때, 카세트 핸들러(31)는 200mm 카세트(112)가 카세트 수령 위치 판(110) 상에 적치될 때까지 카세트 수령판(110)의 컷-아웃(113)(도 4 참조)을 통해 아래쪽으로 이동한다. 그리고 나서, 카세트 핸들러(31)는 판(110) 상에 200mm 카세트(112)를 방출하기 위해 증분 거리에서 아래쪽으로 이동하고, 카세트 핸들러(31)는 환적 FOUP(100)로부터 철회된다.
당업자에게는 본 발명이 다른 방법으로 실시될 수 있음이 명백할 것이다. 사각 격자에 따라 일렬로 배치되는 격실을 가지는 책장 저장부에 의해 회전가능한 저장부가 재적치되는 것이 가능하다. 그리고 나서 카세트 핸들러는 모든 격실에 도달할 수 있는 상응하는 동작의 자유도를 요구한다. 또한, 카세트 핸들러가 본 실시예에 따른 회전가능한 플랫폼 대신에 고정된 플랫폼을 사용하는 웨이퍼 핸들러와 카세트를 동작적인 연결관계로 되게하는 것도 가능하다.
당해 기술의 당업자는 본 발명의 정신에서 벗어나지 않으면서 다양한 변형 실시가 가능하다는 것을 이해할 것이다. 그러므로 본 발명의 실시예들은 오로지 예시를 위한 것이므로 본 발명의 범위를 한정하려는 의도가 없다는 것을 분명하게 이해해야 한다.
이에 의해, 동일 시스템 내에서, 상이한 웨이퍼 사이즈를 핸들링하기 위해 용이하게 재구성될 수 있는 툴을 얻을 수 있다.
이 툴 세트는 300mm FOUP 카세트 핸들러를 수용하는 카세트 핸들러 어댑터를 가지고 200mm 카세트를 처리하는 시스템을 얻을 수 있으며, 본 시스템은 필요시, 카세트 저장 위치에서 카세트를 자동적으로 집을 수 있는 상기와 같은 툴 프로그램을 얻을 수 있다.

Claims (34)

  1. 표준 300mm FOUP 카세트의 핸들링 시스템에 있어서,
    입/출력 스테이션;
    상기 입/출력 스테이션에 인접한 카세트 이송 영역;
    상기 카세트 이송 영역에 인접하고, 300mm FOUP 카세트와 상호 작용하도록 구성된 인터페이스에 의해 상기 카세트 이송 영역으로부터 분리된 웨이퍼 핸들링 챔버;
    상기 입/출력 스테이션으로부터의 표준 300mm FOUP 카세트를 상기 카세트 이송 영역을 통해, 상기 인터페이스로 이송하고, 표준 300mm FOUP 카세트를 수령하도록 설계된 베어링 면을 포함하는 카세트 이송 메카니즘;
    상기 웨이퍼 핸들링 챔버 내에서 상기 인터페이스를 통해 카세트 내의 웨이퍼에 접근하도록 구성된 웨이퍼 핸들링 로봇;
    200mm 개방 카세트를 수령하여 이송하도록 구성된 툴 세트; 및
    상기 베어링 면에 고정되어 200mm 개방 카세트를 수용하도록 구성된 카세트 핸들러 어댑터를 포함하는 것을 특징으로 하는 핸들링 시스템.
  2. 제1항에 있어서,
    상기 인터페이스는 상기 카세트 이송 영역과 상기 웨이퍼 핸들링 챔버 사이에 있는 격벽을 포함하고, 상기 격벽은 300mm FOUP 카세트와 일치하는 폐쇄 가능한 개구를 가지는 것을 특징으로 하는 핸들링 시스템.
  3. 제1항에 있어서,
    상기 카세트 이송 메카니즘은 카세트를 상기 입/출력 스테이션과 상기 카세트 이송 영역 사이에서 이송하는 카세트 핸들러 로봇이며, 상기 베어링 면은 상기 카세트 핸들러 로봇 상에 있는 것을 특징으로 하는 핸들링 시스템.
  4. 제3항에 있어서,
    상기 툴세트는 표준 300mm FOUP 카세트에 일치하는 외부 표면을 가지는 환적 FOUP 카세트를 포함하고, 상기 환적 FOUP 카세트는 200mm 개방 카세트를 수령하도록 구성된 내부 플랫폼을 포함하는 것을 특징으로 하는 핸들링 시스템.
  5. 제4항에 있어서,
    비사용중인 상기 환적 FOUP 카세트를 저장하기 위한 상기 카세트 이송 영역 내의 저장 위치를 더 포함하는 것을 특징으로 하는 핸들링 시스템.
  6. 제3항에 있어서,
    상기 카세트 이송 메카니즘은 상기 카세트 핸들러 로봇으로부터 카세트를 수령하고 인터페이스를 통해 웨이퍼 이송 로봇에 의한 접근가능한 동작 위치에 카세트를 적치하도록 구성된 카세트 이송 플랫폼을 더 포함하는 것을 특징으로 하는 핸들링 시스템.
  7. 제6항에 있어서,
    상기 카세트 이송 플랫폼은 턴테이블을 포함하는 것을 특징으로 하는 핸들링 시스템.
  8. 제3항에 있어서,
    비사용중인 상기 카세트 핸들러 어댑터를 저장하기 위한 저장 위치를 더 포함하는 것을 특징으로 하는 핸들링 시스템.
  9. 제8항에 있어서,
    상기 저장 위치는 상기 카세트 핸들러 로봇상에 있는 것을 특징으로 하는 핸들링 시스템.
  10. 제8항에 있어서,
    상기 카세트 핸들러 로봇의 접근을 허용하는 카세트 저장부를 더 포함하고, 상기 카세트 핸들러 어댑터의 저장을 위한 상기 저장 위치는 상기 카세트 저장부 내의 지정된 위치를 갖는 것을 특징으로 하는 핸들링 시스템.
  11. 제3항에 있어서,
    상기 카세트 핸들러 로봇의 접근을 허용하는 상기 카세트 이송 영역 내부의 카세트 저장부를 더 포함하고, 상기 카세트 저장부는 복수의 300mm FOUP 저장 위치를 가지는 저장 플랫폼을 포함하는 것을 특징으로 하는 핸들링 시스템.
  12. 제11항에 있어서,
    상기 툴세트는 상기 300mm FOUP 저장 위치 중 하나에 결합되도록 구성된 저장 수용판을 포함하고, 상기 저장 수용판은 적어도 하나의 200mm 개방 카세트를 수용하도록 구성되어 있는 것을 특징으로 하는 핸들링 시스템.
  13. 제12항에 있어서,
    상기 저장 수용판은 두 개의 200mm 개방 카세트를 수용하도록 구성되어 있는 것을 특징으로 하는 핸들링 시스템.
  14. 제11항에 있어서,
    상기 저장부는 복수의 적층된 회전 저장 플랫폼을 포함하고, 각 플랫폼은 복수의 저장 격실로 나누어져 300mm FOUP 저장 위치들을 구성하는 것을 특징으로 하는 핸들링 시스템.
  15. 제1항에 있어서,
    상기 툴세트는, 표준 300mm FOUP 카세트를 수령하도록 설계된 상기 입/출력 스테이션의 위치에 결합하기 위해 구성되고 로드 포트 어댑터 판을 포함하고, 상기 로드포트어댑터 판은 200mm 개방 카세트를 수용하기 위해 구성되는 것을 특징으로 하는 핸들링 시스템.
  16. 제4항에 있어서,
    상기 시스템 내에서 200mm 카세트의 존재를 판단하는 센서 배열을 더 포함하고, 상기 센서 배열은 200mm 개방 카세트의 존재로부터 300mm FOUP 카세트의 존재를 식별할 수 있는 것을 특징으로 하는 핸들링 시스템.
  17. 제16항에 있어서,
    상기 센서 배열은 복수의 위치에서 표준 300mm FOUP 카세트의 존재를 상기 환적 FOUP 카세트의 존재와 식별할 수 있는 것을 특징으로 하는 핸들링 시스템.
  18. 제16항에 있어서,
    상기 센서 배열은 복수의 광 센서, 발광부재, 반사경 및 중앙 처리 장치를 가지는 것을 특징으로 하는 핸들링 시스템.
  19. 제18항에 있어서,
    상기 카세트 핸들러 로봇은 표준 300mm FOUP 카세트를 수령하도록 구성된 상기 베어링면을 가진 말단 작동기를 가지고, 상기 센서 배열은 적어도 하나의 광 센서 및 카세트 핸들러 로봇의 베어링면상에 구성되었을 때 상기 카세트 핸들러 어댑터를 감지하도록 배열된 하나의 발광부재를 포함하는 것을 특징으로 하는 핸들링 시스템.
  20. 제19항에 있어서,
    상기 센서는 상기 중앙처리장치와 연통하고, 상기 중앙처리장치는 상기 카세트 핸들러 로봇에 지시하여 200mm 개방 카세트의 이송이 필요할 때, 자동적으로 상기 카세트 핸들러 어댑터를 집을 수 있도록 프로그램되며, 상기 프로그램은 상기 카세트 핸들러 로봇에 지시하여, 200mm 개방 카세트의 이송이 필요하지 않을 때, 상기 카세트 핸들러 어댑터를 저장하는 것을 특징으로 하는 핸들링 시스템.
  21. 제19항에 있어서,
    상기 센서는 중앙처리장치와 연통하고, 상기 중앙처리장치는 상기 카세트 핸들러 로봇에 지시하여 웨이퍼가 200mm 개방 카세트로부터 상기 웨이퍼 이송 로봇 또는 그에 상응하는 것에 이송될 필요가 있을 때 자동적으로 상기 환적 FOUP 내부에 200mm 개방 카세트를 적치시키도록 프로그램되고, 상기 프로그램은 200mm 개방 카세트와 상기 웨이퍼 핸들러 로봇 사이의 웨이퍼의 이송이 완료될 때 상기 카세트 핸들러 로봇에 지시하여 200mm 개방 카세트를 제거하는 것을 특징으로 하는 핸들링 시스템.
  22. 제19항에 있어서,
    상기 카세트 핸들러 로봇의 상기 베어링면은 그로인한 베어링면상에 적어도 하나의 센서 홀을 가지고,
    상기 카세트 핸들러 어댑터는 상기 카세트 핸들러 어댑터가 상기 카세트 핸들러 로봇의 베어링면에 구성될 때, 상기 센서 홀과 정렬되는 종속의 베인을 가지며, 그리고
    상기 센서 배열은 센서를 가져서, 상기 카세트 핸들러 어댑터가 상기 카세트 핸들러 로봇의 베어링면상에 구성될 때, 상기 센서 홀을 통해 하향으로 확장된 베인을 감지하는 것을 특징으로 하는 핸들링 시스템.
  23. 300mm 반도체 웨이퍼 처리용 시스템 내에서 200mm 반도체 웨이퍼를 처리하는 방법에 있어서,
    입/출력 스테이션의 수령 위치에 200mm 카세트를 적치하는 단계;
    처리 시스템 내에서 환적 FOUP 카세트를 마련하는 단계와, 상기 환적 FOUP 카세트를 크기에 따라 분류하고 구성하여 상기 200mm 개방 카세트를 그 곳에서 수령하는 단계;
    상기 처리 시스템 내에서 실행되는 상기 200mm 카세트를 상기 입/출력 스테이션의 수령 위치로부터 이송시키는 단계와 상기 환적 FOUP의 내부에 상기 200mm 카세트를 적치시키는 단계; 및
    상기 환적 FOUP 카세트를 그 내부의 상기 200mm 카세트와 함께, 웨이퍼 핸들러에 활성적으로 연결하여 이송하는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  24. 제23항에 있어서,
    상기 환적 FOUP 카세트의 제공은 상기 시스템 내부에 위치된 저장부로부터 카세트 이송 플랫폼에 상기 환적 FOUP 카세트를 재이동하는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  25. 제24항에 있어서,
    상기 200mm 카세트의 이송은 상기 입/출력 스테이션으로부터 카세트 저장부에 200mm 카세트를 이동하는 단계와 상기 카세트 저장부로부터 상기 카세트 이송 플랫폼에 200mm 카세트를 이동하는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  26. 제24항에 있어서,
    상기 200mm 카세트의 이송은 카세트 핸들러 말단 작동기로 카세트 핸들러 어댑터를 집는 단계, 상기 말단 작동기로 상기 200mm 카세트를 집는 단계, 상기 카세트 핸들러 어댑터는 상기 카세트 핸들러 말단 작동기와 상기 200mm 카세트 사이를 개재시키는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  27. 제23항에 있어서,
    상기 환적 FOUP 카세트의 이송은 상기 환적 FOUP를 지지하는 카세트 이송 플랫폼을 회전시키는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  28. 표준 300mm FOUP 카세트 또는 200mm 개방 카세트 양자를 선택적으로 처리하기위한 방법에 있어서,
    200mm 카세트와 300mm FOUP 카세트를 수령하는 입출력 스테이션으로부터 카세트를 이송하고, 표준 300mm FOUP 카세트를 수령하도록 구성된 베어링 면을 가지는 카세트 핸들러를 제공하는 단계;
    상기 카세트 핸들러를 갖는 저장 위치로부터, 베어링 면에 고정되고 그 위에 200mm 카세트가 수용되도록 구성되는 카세트 핸들러 어댑터를 집는 단계;
    상기 카세트 핸들러, 상기 카세트 핸들러와 상기 200mm 카세트 사이에 개재된 상기 카세트 핸들러 어댑터로 상기 200mm 카세트를 처리하는 단계를 포함하는 것을 특징으로 하는 핸들링 방법.
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
KR1020010060052A 2000-09-27 2001-09-27 웨이퍼 핸들링 시스템 KR100648238B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23604600P 2000-09-27 2000-09-27
US60/236,046 2000-09-27
US09/769,088 US6632068B2 (en) 2000-09-27 2001-01-24 Wafer handling system
US09/769,088 2001-01-24

Publications (2)

Publication Number Publication Date
KR20020025051A KR20020025051A (ko) 2002-04-03
KR100648238B1 true KR100648238B1 (ko) 2006-11-24

Family

ID=26929406

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010060052A KR100648238B1 (ko) 2000-09-27 2001-09-27 웨이퍼 핸들링 시스템

Country Status (4)

Country Link
US (2) US6632068B2 (ko)
EP (2) EP1197990A3 (ko)
JP (1) JP2002151565A (ko)
KR (1) KR100648238B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200063660A (ko) * 2018-11-28 2020-06-05 세메스 주식회사 원통형 선반 장치 및 원통형 선반 장치의 구동 유닛 조립 방법

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
US7134826B2 (en) * 2001-11-28 2006-11-14 Dainippon Screen Mfg. Co., Ltd. Substrate transfer apparatus, substrate processing apparatus and holding table
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP4118592B2 (ja) * 2002-04-22 2008-07-16 富士通株式会社 ロードポート及び半導体製造装置
US20030198542A1 (en) * 2002-04-22 2003-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cassette pod stage equipped with locked guide pins
JP4218260B2 (ja) * 2002-06-06 2009-02-04 東京エレクトロン株式会社 被処理体の収納容器体及びこれを用いた処理システム
US6748282B2 (en) * 2002-08-22 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd Flexible dispatching system and method for coordinating between a manual automated dispatching mode
JP4091380B2 (ja) * 2002-08-29 2008-05-28 東京エレクトロン株式会社 被処理体基板を収容した複数種類のカセットに対応可能なロードポート
DE10329868A1 (de) * 2003-07-02 2005-01-20 Dynamic Microsystems Semiconductor Equipment Gmbh Lagersystem für Wafer
EP1767293B1 (en) * 2004-07-02 2013-08-14 Sintokogio, Ltd. Method and facility for after-treatment of aluminum casting coarse material
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
WO2006038584A1 (ja) * 2004-10-06 2006-04-13 Hitachi Kokusai Electric Inc. 半導体製造装置及び半導体装置の製造方法
JPWO2006051577A1 (ja) * 2004-11-09 2008-08-07 株式会社ライト製作所 ロードポート及びアダプタ
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
KR100715350B1 (ko) 2005-10-14 2007-05-10 에버시스(주) 반도체 웨이퍼 저장 장치
DE102006028057B4 (de) 2005-10-17 2017-07-20 Dynamic Microsystems Semiconductor Equipment Gmbh Vorrichtung zum Lagern von kontaminationsempfindlichen, plattenförmigen Gegenständen, insbesondere zum Lagern von Halbleiterwafern
KR100909494B1 (ko) * 2006-05-11 2009-07-27 도쿄엘렉트론가부시키가이샤 처리장치
TWI452643B (zh) * 2006-05-11 2014-09-11 Tokyo Electron Ltd Inspection device and inspection method
US7896602B2 (en) * 2006-06-09 2011-03-01 Lutz Rebstock Workpiece stocker with circular configuration
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2008008737A2 (en) * 2006-07-10 2008-01-17 Asyst Technologies, Inc. Variable lot size load port
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
US9230838B2 (en) * 2006-07-26 2016-01-05 Tec-Sem Ag Apparatus for storage of objects from the field of manufacture of electronic components
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080112787A1 (en) 2006-11-15 2008-05-15 Dynamic Micro Systems Removable compartments for workpiece stocker
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
JP4313824B2 (ja) * 2007-03-23 2009-08-12 東京エレクトロン株式会社 基板移載装置及び基板移載方法並びに記憶媒体
JP2009010009A (ja) * 2007-06-26 2009-01-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
US8180594B2 (en) * 2007-09-06 2012-05-15 Asm International, N.V. System and method for automated customizable error diagnostics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI363030B (en) * 2009-07-10 2012-05-01 Gudeng Prec Industral Co Ltd Wafer container with top flange structure
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101150850B1 (ko) * 2010-01-22 2012-06-13 주식회사 엘지실트론 웨이퍼 세정장비용 카세트 지그 및 이를 구비한 카세트 어셈블리
US8338210B2 (en) * 2010-06-14 2012-12-25 Asm International N.V. Method for processing solar cell substrates
US8641350B2 (en) * 2011-02-18 2014-02-04 Asm International N.V. Wafer boat assembly, loading apparatus comprising such a wafer boat assembly and method for loading a vertical furnace
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
EP2727137B1 (en) 2011-06-28 2022-04-20 Brooks Automation (Germany) GmbH Semiconductor stocker systems and methods.
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103165500A (zh) * 2011-12-15 2013-06-19 江南大学 多臂轻质芯片供送机构
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779253A (zh) * 2012-10-17 2014-05-07 沈阳芯源微电子设备有限公司 兼容不同基板装载的装载机构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
NL2011132C2 (en) * 2013-07-10 2015-01-13 Stertil Bv Lifting system for lifting a vehicle and method for operating the lifting system.
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
SG11201602194UA (en) * 2013-09-30 2016-05-30 Murata Machinery Ltd Storage warehouse
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9698038B2 (en) * 2014-08-28 2017-07-04 Infineon Technologies Ag Adapter tool and wafer handling system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2017103285A (ja) * 2015-11-30 2017-06-08 シンフォニアテクノロジー株式会社 ロードポートに設けられた載置台の上に載置されるアダプタ、およびロードポート
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
DE102016119888B3 (de) * 2016-10-19 2018-03-08 Asys Automatic Systems Gmbh & Co. Kg Arbeitseinheit zur Umsetzung von Substraten
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) * 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
TWI813430B (zh) * 2017-08-09 2023-08-21 荷蘭商Asm智慧財產控股公司 用於儲存基板用之卡匣的儲存設備及備有其之處理設備
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790177B2 (en) * 2017-11-14 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Systems, devices, and methods for using a real time environment sensor in a FOUP
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP6895133B2 (ja) * 2017-11-27 2021-06-30 村田機械株式会社 保管装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
WO2021016389A1 (en) 2019-07-22 2021-01-28 Rorze Automation, Inc. Systems and methods for horizontal wafer packaging
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11915960B2 (en) * 2019-07-31 2024-02-27 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) * 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN110752169B (zh) * 2019-10-21 2022-03-22 西安奕斯伟材料科技有限公司 一种晶圆处理装置和上下料方法
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202220811A (zh) * 2020-11-20 2022-06-01 天虹科技股份有限公司 基材傳送系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230035556A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Portable robotic semiconductor pod loader
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930020627A (ko) * 1992-03-10 1993-10-20 존 엘. 베노이스트 마이크로회로 웨이퍼들을 처리하기 위한 장치
KR950004483A (ko) * 1993-07-15 1995-02-18 제임스 조셉 드롱 반도체 처리장치용 웨이퍼 트레이 및 세라믹 블레이드
JPH07297271A (ja) * 1994-04-22 1995-11-10 Shinko Electric Co Ltd 異サイズのウェ−ハカセットを任意に支持可能な支持機構
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
JPH10151592A (ja) * 1996-11-25 1998-06-09 Mecs:Kk 搬送ロボットのハンド自動交換システムと装置
JPH11111804A (ja) * 1997-10-07 1999-04-23 Kokusai Electric Co Ltd カセットサイズ変換装置
KR19990082011A (ko) * 1996-01-24 1999-11-15 스탠리 디. 피에코스 진공 일체형 표준 메카니컬 인터페이스 시스템
WO1999065064A1 (en) * 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
EP1197990A2 (en) * 2000-09-27 2002-04-17 Asm International N.V. Wafer handling system

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059079A (en) * 1989-05-16 1991-10-22 Proconics International, Inc. Particle-free storage for articles
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5570987A (en) * 1993-12-14 1996-11-05 W. L. Gore & Associates, Inc. Semiconductor wafer transport container
JP3331746B2 (ja) * 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
US5713711A (en) 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
JP3813658B2 (ja) * 1996-03-13 2006-08-23 アキレス株式会社 半導体ウエハ収納容器
US5915562A (en) 1996-07-12 1999-06-29 Fluoroware, Inc. Transport module with latching door
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5879277A (en) * 1997-06-11 1999-03-09 Kawasaki Robotics (Usa) Inc. Tool storage and retrieval system
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6398032B2 (en) * 1998-05-05 2002-06-04 Asyst Technologies, Inc. SMIF pod including independently supported wafer cassette
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6095335A (en) * 1998-07-10 2000-08-01 H-Square Corporation Wafer support device having a retrofit to provide size convertibility
US6060992A (en) * 1998-08-28 2000-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for tracking mobile work-in-process parts
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
TW463207B (en) * 1999-03-17 2001-11-11 Fujitsu Ltd Double-sealed work conveying and transferring apparatus and container inspecting method
US6208908B1 (en) * 1999-04-27 2001-03-27 Si Handling Systems, Inc. Integrated order selection and distribution system
JP2002231802A (ja) * 2001-01-31 2002-08-16 Takehide Hayashi 互換性を備えたウェハー搬送容器

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930020627A (ko) * 1992-03-10 1993-10-20 존 엘. 베노이스트 마이크로회로 웨이퍼들을 처리하기 위한 장치
KR950004483A (ko) * 1993-07-15 1995-02-18 제임스 조셉 드롱 반도체 처리장치용 웨이퍼 트레이 및 세라믹 블레이드
JPH07297271A (ja) * 1994-04-22 1995-11-10 Shinko Electric Co Ltd 異サイズのウェ−ハカセットを任意に支持可能な支持機構
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
KR19990082011A (ko) * 1996-01-24 1999-11-15 스탠리 디. 피에코스 진공 일체형 표준 메카니컬 인터페이스 시스템
JPH10151592A (ja) * 1996-11-25 1998-06-09 Mecs:Kk 搬送ロボットのハンド自動交換システムと装置
JPH11111804A (ja) * 1997-10-07 1999-04-23 Kokusai Electric Co Ltd カセットサイズ変換装置
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
WO1999065064A1 (en) * 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
EP1197990A2 (en) * 2000-09-27 2002-04-17 Asm International N.V. Wafer handling system
JP2002151565A (ja) * 2000-09-27 2002-05-24 Asm Internatl Nv ウェハハンドリングシステム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200063660A (ko) * 2018-11-28 2020-06-05 세메스 주식회사 원통형 선반 장치 및 원통형 선반 장치의 구동 유닛 조립 방법
KR102158828B1 (ko) 2018-11-28 2020-09-22 세메스 주식회사 원통형 선반 장치 및 원통형 선반 장치의 구동 유닛 조립 방법

Also Published As

Publication number Publication date
KR20020025051A (ko) 2002-04-03
US6632068B2 (en) 2003-10-14
US20040037675A1 (en) 2004-02-26
EP1739734A2 (en) 2007-01-03
JP2002151565A (ja) 2002-05-24
EP1197990A3 (en) 2005-03-02
US6981832B2 (en) 2006-01-03
EP1197990A2 (en) 2002-04-17
US20020099470A1 (en) 2002-07-25

Similar Documents

Publication Publication Date Title
KR100648238B1 (ko) 웨이퍼 핸들링 시스템
US6848876B2 (en) Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
KR100616125B1 (ko) 수직 인터페이스에 적합한 개방 시스템
KR20210044701A (ko) 수직형 배치 퍼니스 어셈블리
US7077614B1 (en) Sorting/storage device for wafers and method for handling thereof
US6520727B1 (en) Modular sorter
US7887277B2 (en) Reticle storage pod (RSP) transport system utilizing FOUP adapter plate
KR100663322B1 (ko) 소규모 환경 내에서의 카세트 버퍼링
CN112309938A (zh) 立式批处理炉组件
JP2002151565A5 (ko)
JP2008078616A (ja) カセット蓄積量を増加させた処理装置
KR20020026157A (ko) Smif 및 개방 포드 애플리케이션을 위한 유니버설 툴인터페이스 및/또는 워크피스 이송 장치
JP2002512446A (ja) ウエハ処理装置とともに使用するための自動化ウエハバッファ
JPH10223728A (ja) 半導体ウェハキャリアの格納及び装填用コンパクト装置及び方法
WO2017094694A1 (ja) ロードポート
KR100432440B1 (ko) 종형 열처리장치
KR19980080191A (ko) 카셋트 반출입 기구 및 반도체 제조 장치
KR20090098827A (ko) 워크피스 스토커를 위한 제거 가능한 구획들
JP2024059638A (ja) レチクルストッカシステム及びそれを用いた方法
KR20010071468A (ko) 물체를 저장하는, 특히 웨이퍼, 평면 패널 또는 cd와같은 디스크형 물체를 저장하는 장치
KR20180130388A (ko) Smif 장치
WO2009114193A2 (en) Bare reticle storage chamber and stocker
JP2017103284A (ja) ロードポート
JP2017103286A (ja) ロードポート
JP2000216216A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091110

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee