KR100453090B1 - 처리장치및처리장치내의기체의제어방법 - Google Patents

처리장치및처리장치내의기체의제어방법 Download PDF

Info

Publication number
KR100453090B1
KR100453090B1 KR10-1998-0032522A KR19980032522A KR100453090B1 KR 100453090 B1 KR100453090 B1 KR 100453090B1 KR 19980032522 A KR19980032522 A KR 19980032522A KR 100453090 B1 KR100453090 B1 KR 100453090B1
Authority
KR
South Korea
Prior art keywords
clean room
pressure
gas
clean
load lock
Prior art date
Application number
KR10-1998-0032522A
Other languages
English (en)
Other versions
KR19990023508A (ko
Inventor
요시아키 사사키
데루오 아사가와
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR19990023508A publication Critical patent/KR19990023508A/ko
Application granted granted Critical
Publication of KR100453090B1 publication Critical patent/KR100453090B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Ventilation (AREA)

Abstract

본 발명은 공용영역으로부터 반송되는 피처리체 반송용기를 수납하는 용기 수납실과, 용기수납실에 인접하는 청정실, 청정실에 인접하는 로드록실을 구비하고 있다. 청정실은, 청정실내로 청정한 기체를 도입하는 도입로와, 청정실내의 압력을 조절하기 위한 압력조정수단을 갖추고 있다. 로드록실은, 청정실로부터 용기수납실까지 연장되어 용기수납실내에 수납된 피처리체 반송용 용기로부터 피처리체를 끄집어 내어 청정실을 통과시켜 로드록실내로 반송하는 반송장치를 갖추고 있다.

Description

처리장치 및 처리장치내의 기체의 제어방법
본 발명은 반도체 처리공정에 있어서 웨이퍼 등의 피처리체를 처리하는 처리장치 및 처리장치내의 기체의 제어방법에 관한 것이다.
반도체 제조공정에 있어서 처리되는 웨이퍼는 현재의 6인치 혹은 8인치의 반도체 웨이퍼(이하, 간단하게 웨이퍼라 칭함)로부터 단숨에 300㎜의 웨이퍼로 이행하는 경향에 있다. 이에 따라, 300㎜ 웨이퍼에 대응한 반도체 제조장치가 개발되고 있다. 300㎜ 웨이퍼의 시대에 있어서는, 웨이퍼가 단순히 대구경화, 중량화될 뿐만아니라, 웨이퍼에 형성되는 집적회로의 선폭이 서브-쿼터-미크론(sub-quarter-micron) 이하의 초미세구조로 된다. 이 때문에, 각 반도체 제조공장에서는 클린 룸의 초청정화 기술이나 웨이퍼의 자동반송화 기술이 점점 중요해지고 있다.
그런데, 8인치 웨이퍼까지의 경우, 각 공정간에서 웨이퍼를 반송할 때에는 웨이퍼를 세운 상태로 캐리어를 반송하고, 각 공정의 반도체 제조장치에 대한 반출입을 행할 때에는 웨이퍼를 수평으로 하는 것이 일반적이었다. 장치내로의 캐리어의 반출입은 오퍼레이터(operator)를 매개해서 행하여지거나 AGV(Automatic guided Vehicle)를 매개하여 행하여지고 있다. 그러나, 300㎜ 웨이퍼의 경우, 웨이퍼를 세운 채로 반송하면, 자체중량이나 반송시의 진동 등으로 웨이퍼의 하단부가 손상될 우려가 있다. 이 때문에, 웨이퍼를 수평으로 한 상태로 캐리어를 반송하고, 각 공정의 반도체 제조장치에 대한 반출입을 행할 때에도 그대로 수평상태에서 행하도록 되어 있다. 그리고, 장치내로의 캐리어의 반출입은 웨이퍼의 중량 및 파티클에 대한 대책으로부터 오퍼레이터를 매개하여 행하는 것이 어려워지기 때문에, 이들 작업의 자동화가 촉진되고 있다.
또, 8인치 웨이퍼까지의 경우에는, 캐리어를 얹어 놓은 로드록실(load- lock chamber)을 소정의 진공도로 한 다음, 반송실을 경유하여 소정의 처리실로 웨이퍼를 1매씩 옮겨 놓도록 하고 있었다. 그런데, 300㎜ 웨이퍼의 경우, 캐리어 용량이 커지기 때문에, 로드록실을 진공상태로 하기까지 막대한 시간이 필요하게 됨과 더불어, 진공으로 만드는 것에 의해 플라스틱제 캐리어로부터 유기화합물 가스 등이 불순물 가스로서 방출되어 장치내가 오염될 우려가 있다. 이 때문에, 300㎜ 대응의처리장치에 있어서는, 캐리어 수납실과 로드록실의 사이에 청정실이 배치되고, 캐리어 수납실내에 얹혀 있는 캐리어로부터 로드록실내에 배치된 반송장치를 매개하여 로드록실 내부로 웨이퍼를 일단 끄집어 낸 후, 반송실을 매개하여 각 처리실로 웨이퍼를 1매씩 반송하도록 되어 있다. 따라서, 캐리어의 형태도 300㎜ 웨이퍼에 대응되는 것이 요구된다. 현재의 경우, 캐리어로서는, 예컨대 크게 나누어 오픈방식의 캐리어와, 캐리어를 포드(pod)내에 수납하여 뚜껑을 닫는 밀폐방식의 포드[예컨대, 통합된 포드(unified pod)]를 생각할 수 있다.
상기 처리장치는, 예컨대 도 4에 나타낸 바와 같이 웨이퍼를 13매 또는 25매 수납한 포드(P)를 얹어 놓는 트레이(1)와, 후퇴한 트레이(1)상에 포드(P)를 얹어 놓은 상태로 수납하는 캐리어 수납실(2), 이 캐리어 수납실(2)내의 포드(P)에 대해 웨이퍼를 모아서 반출입하는 웨이퍼 반송장치(도시하지 않음)가 설치된 로드록실(3)을 구비하고 있다. 그리고, 캐리어 수납실(2)과 로드록실(3)의 사이에는 청정실(5)이 끼워져 웨이퍼의 통과분위기를 청정한 상태로 하고 있다. 또, 로드록실(3)에는 게이트 밸브를 매개하여 반송실(4)이 접속되고, 이 반송실(4)을 매개하여 로드록실(3)내의 웨이퍼가 1매씩 웨이퍼의 처리실(도시하지 않음)로 옮겨 놓여지도록 하고 있다. 그리고, 이 처리장치는 클린 룸(R)내에서 프론트 패널(6)을 매개하여 공용영역(R1)측으로부터 구획된 장치영역(R2)내에 설치되어 있다.
또, 상기 클린 룸(R)의 천정에는 ULPA 필터나 HEPA 필터 등의 고성능 필터(F)가 설치되고, 이 고성능 필터(F)에 의해 청정화된 공기가 클린 룸(R)내를 하강류로 흐르고 있다. 그리고, 이 처리장치는 청정실(5)내에 배치된 흡인팬(suction fan; 도시하지 않음)에 의해 장치영역(R2)의 공기를 청정실(5)내로 도입하고, 흡인 팬의 하류측에 설치된 상술한 고성능 필터와 마찬가지의 고성능 필터(도시하지 않음)에 의해 공기를 청정화한 후, 이 공기를 청정실(5)의 내부에 있어서 화살표로 나타낸 바와 같이 하강류로 흘리고, 그 저면측으로부터 외부로 배기하고 있다. 또한, 청정실(5)내에는 도 4에 나타낸 것처럼 오프너(7)가 설치되어 있다. 이 처리장치는 오프너(7)에 의해 포드(P)의 두껑을 열고, 로드록실(3)의 웨이퍼 반송장치에 의해 포드(P)내의 웨이퍼를 일괄하여 청정실(5)을 경유해서 로드록실(3)내로 옮겨 놓으며, 로드록실(3)내에서 모든 웨이퍼를 수평상태로 지지함과 더불어, 웨이퍼 반송장치에 의해 지지된 웨이퍼(W)를 반송실(4)을 매개하여 처리실쪽으로 옮겨 놓도록 하고 있다. 한편, 로드록실(3) 및 반송실(4)의 웨이퍼의 반출입구에는 각각 도시하지 않은 게이트 밸브가 설치되어 있다.
그렇지만, 종래의 처리장치의 경우에는 장치영역(R2)내의 공기를 청정실(5)내로 도입하도록 하고 있기 때문에, 각종 과제가 있었다. 예컨대, 장치영역(R2)에는 공용영역(R1)과는 다른 여러 가지의 설비가 설치되어 있기 때문에, 이들 설비로부터 파티클이 발생하기도 하고, 그들 설비의 도장부분으로부터 탄화수소로 이루어진 유기용매 등의 유기계 가스가 불순물 가스로서 발생하기도 한다. 이로 인해, 이들 불순물에 의해 장치영역(R2)의 공기가 오염되기 때문에, 장치영역(R2)의 공기는 공용영역(R1)의 공기와 비교하여 청정도가 저하하고 있다. 장치영역(R2)의 공기를 그대로 청정실(5)내로 도입하면, 파티클은 청정실(5)내의 고성능 필터로 제거할 수 있지만, 불순물 가스는 제거할 수 없으므로, 불순물 가스가 웨이퍼의 표면에 부착되어, 그 후의 웨이퍼의 처리를 저해하고, 원료대 제품비를 저하시키는 등의 문제가 있었다. 특히, 웨이퍼(W)의 가공이 초미세화되면, 파티클이나 불순물 가스의 영향이 현저해진다. 또, 경우에 따라서는 공기를 도입하면, 공기중의 산소가 웨이퍼(W)의 표면에 산화 피막을 만들거나, 혹은 공기중의 수분이 그 후 청정실(5)을 매개하여 로드록실(3)로 흘러 들어가 그 벽면에 부착되어, 로드록실(3)의 진공배기시간이 길어지는 등의 악영향을 줄 우려가 있었다.
본 발명은 상기 과제를 해결하기 위해 이루어진 것으로, 피처리체의 처리장치내에서의 불순물 가스의 부착을 방지하여 원료대 제품비의 저하를 방지할 수 있는 처리장치 및 처리장치내의 기체의 제어방법을 제공하는 것을 목적으로 하고 있다.
도 1은 본 발명의 처리장치의 1실시형태의 웨이퍼 반출입부를 나타낸 단면도,
도 2는 도 1에 나타낸 오프너로 포드의 뚜껑을 개방하는 상태를 나타낸 사시도,
도 3은 본 발명의 처리장치의 다른 실시형태의 웨이퍼 반출입부를 나타낸 단면도,
도 4는 종래의 처리장치의 일례를 나타낸 단면도이다.
본 발명은 클린 룸내에서 격벽(隔璧) 등에 의해 공용영역으로부터 구획된 장치영역내에 설치되고, 공용영역으로부터 피처리체 반송용기에 의해 반송되는 피처리체를 처리하는 처리장치에 있어서, 공용영역으로부터 반송되는 피처리체 반송용기를 수납하는 용기수납실과, 용기수납실에 인접하는 청정실 및, 청정실에 인접하는 로드록실을 구비하고, 청정실은 청정실내로 청정한 기체를 도입하는 도입로와, 청정실내의 압력을 조절하기 위한 압력조정수단을 갖추며, 로드록실은, 청정실로부터 용기수납실까지 연장되어 용기수납실내에 수납된 피처리체 반송용 용기로부터 피처리체를 끄집어 내어 청정실을 통과시켜 로드록실내로 반송하는 반송장치를 갖춘 것을 특징으로 하는 처리장치이다.
또한, 본 발명의 특징으로서, 압력조정수단은 도입로내의 기체유량을 조절하는 밸브와, 청정실내의 압력과 대기압의 압력차를 검출하는 차압계(差壓計) 및, 차압계의 검출결과에 기초하여 청정실내의 압력이 정압(正壓)으로 되도록 밸브의 개방도를 조절하는 밸브 제어부를 갖추고 있다.
또한, 본 발명의 특징으로서, 청정실은 도입로의 청정실쪽의 끝부분[단부(端部)] 근방에 설치되고, 도입로로부터 도입되는 청정한 기체를 청정실내로 송풍하는 송풍수단을 갖추고 있다. 더욱이, 청정실은 송풍수단에 의해 송풍되는 기체를 청정실내에서 순환시키기 위한 순환로와, 순환로내에 설치되어 순환로내를 순환하는 기체로부터 미립자나 불순물 가스를 제거하는 필터를 갖추고 있는 것이 바람직하다.
또한, 본 발명의 특징으로서, 도입로는 청정실내와 공용영역을 연통하고 있고, 공용영역으로부터 청정실내로 청정한 기체를 도입하도록 되어 있다. 혹은, 불활성 가스 공급원을 구비하고, 도입로는 청정실내와 불활성 가스 공급원을 연통하고 있고, 불활성 가스 공급원으로부터 청정실내로 청정한 불활성 가스를 도입하도록 되어 있다.
또, 본 발명은, 클린 룸내에서 격벽 등에 의해 공용영역으로부터 구획된 장치영역내에 설치되고, 공용영역으로부터 피처리체 반송용기에 의해 반송되는 피처리체를 처리함과 더불어, 공용영역으로부터 반송되는 피처리체 반송용기를 수납하는 용기수납실과, 용기수납실에 인접하는 청정실 및 청정실에 인접하는 로드록실 을 구비하고, 청정실은 청정실내로 청정한 기체를 도입하는 도입로와, 청정실내의압력을 조절하기 위한 압력조정수단을 갖추며, 로드록실은 청정실로부터 용기수납실까지 연장되어 용기수납실내에 수납된 피처리체 반송용 용기로부터 피처리체를 끄집어 내어 청정실을 통과시켜서 로드록실내로 반송하는 반송장치를 갖춘 처리장치내의 기체의 제어방법에 있어서, 공용영역으로부터 도입로를 매개하여 청정실내로 청정한 기체를 도입하는 공정과, 압력조정수단에 의해 청정실내의 압력을 정압으로 유지시키는 공정을 갖춘 것을 특징으로 하는 처리장치내의 기체의 제어방법이다.
또한, 본 발명의 특징으로서, 청정실내로 도입된 기체를 배출하는 공정과, 배출된 기체를 필터를 통과시켜 다시 청정실내로 도입하는 공정을 더 갖추고 있다.
또, 본 발명은 클린 룸내에서 격벽 등에 의해 공용영역으로부터 구획된 장치영역내에 설치되고, 공용영역으로부터 피처리체 반송용기에 의해 반송되는 수납하는 용기수납실과, 용기수납실에 인접하는 청정실 청정실에 인접하는 로드록실 및, 불활성 가스 공급원을 구비하고, 청정실은 청정실내로 청정한 불활성 가스를 도입하는 도입로와, 청정실내의 압력을 조절하기 위한 압력조정수단을 갖추며, 로드록실은 청정실로부터 용기수납실까지 연장되어 용기수납실내에 수납된 피처리체 반송용 용기로부터 피처리체를 끄집어 내어 청정실을 통과시켜 로드록실내로 반송하는 반송장치를 갖춘 것을 특징으로 하는 처리장치내의 기체의 제어방법에 있어서, 불활성 가스 공급원으로부터 도입로를 매개하여 청정실내로 청정한 불활성 가스를 도입하는 공정과, 압력조정수단에 의해 청정실내의 압력을 정압으로 유지시키는 공저을 갖춘 것을 특징으로 하는 처리장치내의 기체의 제어방법이다.
또한, 본 발명의 특징으로서, 청정실내로 도입된 불활성 가스를 배출하는 공정과, 배출된 불활성 가스를 필터를 통과시켜 다시 청정실내로 도입하는 공정을 더 갖추고 있다.
(발명의 실시형태)
이하, 도 1 및 도 2에 나타낸 본 발명의 처리장치의 1실시형태를 설명한다.
본 실시형태의 처리장치(10)는, 도 1에 나타낸 바와 같이 웨이퍼(피처리체)를 수납한 포드(pod; P)를 얹어 놓는 트레이(11)와, 이 트레이(11)가 후퇴하여 그 위에 놓여 있는 포드(P)를 수납하는 캐리어 수납실(12; 용기수납실), 이 캐리어 수납실(12)내의 포드(P)에 대해 웨이퍼를 모아서 반출입하는 웨이퍼 반송장치(l3A)가 설치된 로드록실(13)을 구비하고 있다. 그리고, 캐리어 수납실(12)과 로드록실(13)의 사이에는 청정실(14)이 끼워져 있다. 또, 로드록실(13)에는 반송실(15)이 연통가능하게 접속되고, 이 반송실(15)을 경유하여 로드록실(13)내의 웨이퍼가 1매씩 웨이퍼의 처리실(도시하지 않음)쪽으로 옮겨 놓여지도록 되어 있다. 이 반송실(15)에는 복수의 처리실이 연통가능하게 접속되는 바, 예컨대 이 반송실(15)내의 반송장치(도시하지 않음)를 매개하여 로드록실(13)내의 웨이퍼가 1매씩 각 처리실로 반송되도록 되어 있다. 한편, 도 l에 있어서, l3B는 웨이퍼 반송장치(l3A)의 구동기구이다.
상기 포드(P)는 도 2에 나타낸 바와 같이, 본체(Pl)와, 이 본체(Pl)의 개구부를 닫는 뚜껑(P2)을 갖추고 있다. 포드(P)는 3OOmm의 웨이퍼를 13매 또는 25매 수납할 수 있고, PEEK(폴리-에테르-에테르-케톤) 등의 합성수지에 의해 밀폐가능한구조로 되어 있다. 그리고, 웨이퍼 반송시에는 포드(P)내에 예컨대 질소 가스가 봉입되어, 포드(P)의 내부를 청정한 환경으로 하여 외부로부터 차단함과 더불어, 웨이퍼의 자연산화를 극력 방지하도록 되어 있다. 또, 포드(P)의 상면에는 피파지부(被把持部; P3)가 장치되어, 클린 룸(R)의 천정에 설치된 레일을 따라 이동하는 캐리어 반송장치가 피파지부(P3)를 파지하여 각 처리장치 사이에서 포드(P)를 반송하도록 하고 있다. 한편, 도 1에 있어서, F는 고성능 필터이다.
또, 본 실시형태의 처리장치(10)의 전면에는 프론트 패널(16)이 설치되고, 이 프론트 패널(16)에 의해 클린 룸(R)내를 공용영역(R1)과 장치영역(R2)으로 구획하고 있다. 프론트 패널(16)에는 포드(P)를 캐리어 수납실(12)에 대해 반출입하는 반출입구(l6A)가 형성되어 있다. 트레이(11)는 이 반출입구(l6A)에 의해 도 1에 이점쇄선으로 나타낸 후퇴위치와 실선으로 나타낸 전진위치의 사이에서 전진·후퇴 운동하도록 되어 있다. 이 트레이(11)는 상하방향으로 복수단 있고, 승강기구(17)에 의해 각 트레이(11)가 반출입구(16A)에서 정지하도록 되어 있다. 그리고, 프론트 패널(16)에는 개폐 도어(l6B)가 설치되고, 이 개폐 도어(l6B)는 구동기구(l6C)에 의해 화살표 방향으로 이동하여 반출입구(l6A)를 개폐하도록 되어 있다. 한편, 공용영역(Rl)은 오퍼레이터가 처리장치(10)의 조작을 행하거나, AGV 등에 의해 포드(P)를 반송하는 영역으로서 형성되어 있다.
상기 청정실(14)의 캐리어 수납실(12)측의 벽면에는 웨이퍼를 반출입하는 웨이퍼 반출입구(도시하지 않음)가 형성되고, 이 반출입구에 포드(P)의 뚜껑(P2)이 끼워지도록 되어 있다. 그리고, 이 웨이퍼 반출입구의 하방에는 오프너(18)가 설치되고, 이 오프너(18)에 의해 도 2에 나타낸 바와 같이 포드(P)의 뚜껑(P2)이 개폐되도록 되어 있다. 이 오프너(18)와 대향하는 벽면에는 구동기구(l9A)에 의해 구동되는 게이트 밸브(19)가 장치되어 있다. 게이트 밸브(19)는 웨이퍼를 반출입할 때에 열리고, 웨이퍼를 반입한 후에는 닫혀, 로드록실(13)을 밀폐시키도록 되어 있다. 따라서, 오프너(18)에 의해 포드(P)의 뚜껑(P2)이 개방됨과 더불어 게이트 밸브(19)가 열린 후, 웨이퍼 반송장치(l3A)가 구동되어 포드(P)로부터 13매 또는 25매의 웨이퍼를 모아서 로드록실(13)내로 반입하고, 모든 웨이퍼를 수평으로 유지한다. 그리고, 오프너(18)와 게이트 밸브(19)가 닫히고 반송실(15)의 게이트 밸브(l5A)가 열리며, 반송실(15)의 반송장치가 구동되어 웨이퍼 반송장치(l3A)로부터 웨이퍼를 1매씩 끄집어 내어 반송실(15)을 경유하여 각종 처리실로 반송하고, 각 처리실내에서는 예컨대 에칭처리, 성막처리 등을 실시하도록 되어 있다.
또, 상기 청정실(14)의 상부에는, 예컨대 송풍 팬(20), 고상능 필터(21) 및 케미컬 필터(chemical filter; 22)가 상방으로부터 하방으로 순차 설치되어 있다. 고성능 필터(21)는 예컨대 ULPA 필터나 HEPA 필터 등으로 구성되어 있다. 케미컬 필터(22)는 예컨대 입자형상이나 섬유형상의 활성탄 등의 흡착제로 구성되어 불순물 가스를 화학흡착하는 것이다. 그리고, 청정실(14)의 하부에는, 예컨대 다수의 구멍이 분산되어 형성된 마루면(床面; 23)이 수평으로 설치되고, 송풍 팬(20)에 의해 송풍된 하강류가 마루면(23)을 통과하며, 이 기류가 순환 덕트(24)를 경유해서 청정실(14)의 송풍 팬(20) 상방의 상부공간(l4B)으로 순환함으로써, 기류가 청정실(14)내에서 화살표로 나타낸 바와 같이 순환하도록 되어 있다. 또,청정실(14)에는, 예컨대 질소, 아르곤 등의 불활성 가스를 공급하는 불활성 가스 공급원(31)이 도입배관(25)을 매개하여 접속되어 있다. 도입배관(25)의 청정실(14)쪽의 끝부분은, 예컨대 송풍 팬(20)과 고성능 필터(21)의 사이에 삽입되어 송풍 팬(20)과 고성능 필터(21)의 사이에서 불활성 가스를 전면적으로 분산시키도록 되어 있다. 이 때문에, 불활성 가스는 고성능 필터(21) 및 케미컬 필터(22)를 경유하여 청정실(14)내에서 기류가 흐트러지는 일 없이 절연한 하강류로서 흐르는 바, 상술한 경로에서 불활성 가스는 청정실(14)내에서 대략 층류 상태(層流 狀態)로 순환한다.
더욱이, 이 때의 청정실(14)내의 불활성 가스의 압력은 외부의 대기압보다 미리 설정된 소정치만큼 높은 정압(正壓)으로 되도록 되어 있다. 즉, 상기 도입배관(25)에는 밸브 제어부(32)를 갖춘 압력조절 밸브(26)가 장치되고, 또 상기 청정실(14)에는 실내의 기체의 압력과 대기압의 차이를 검출하는 차압계(27)가 장치되어 있다. 이 차압계(27)는 청정실(14)내의 불활성 가스의 압력을 항상 검출하여 대기압과의 차이를 검출하는 것으로, 청정실(14)내의 불활성 가스의 압력을 항상 대기압보다 높은 정압으로 유지시키도록 하는 밸브 제어부(32)에 접속되어 있다. 따라서, 만일 청정실(14)내의 불활성 가스의 압력이 대기압에 가깝거나, 그보다 낮아지는 경우가 있다면, 차압계(27)의 검출치에 기초하여 밸브 제어부(32)가 압력조절 밸브(26)의 개방도를 크게 하여 불활성 가스의 유입량을 증가시켜 청정실(14)내의 압력을 정압으로 유지시키도록 하고 있다. 청정실(14)내의 불활성 가스의 압력을 정압으로 함으로써, 장치영역(R2)의 공기가 청정실(14)내로 흘러 들어가지 않도록하고 있다.
다음으로, 본 발명의 기체의 제어방법을 처리장치의 동작과 더불어 설명한다. 예컨대, 처리장치(10)를 이용하여 웨이퍼에 소정의 처리를 실시하는 경우에는, 우선 프론트 패널(16)의 개폐 도어(l6B)를 개방하여 반출입구(l6A)로부터 트레이(11)를 인출한 후, 트레이(11)상에 포드(P)를 얹어 놓는다. 이어서, 트레이(11)가 캐리어 수납실(12)내로 후퇴함과 더불어 구동기구(l6C)가 구동되어 개폐 도어(l6B)로 반출입구(l6A)를 닫는다. 이어서, 청정실(14)내의 오프너(18)가 도 2에 나타낸 바와 같이 구동되어 포드(P)의 뚜껑(P2)을 개방함과 더불어 로드록실(13)의 게이트 밸브(19)가 구동기구(l9A)를 매개하여 구동되어 반출입구를 개방한다. 이로써 포드(P)와 청정실(14)과 로드록실(13)이 연통하여 일체화된 공간으로 된다. 청정실(14)내에는 불활성 가스, 예컨대 질소 가스가 그 공급원(31)으로부터 공급되고 있기 때문에, 포드(P), 청정실(14) 및 로드록실(13)이 매우 청정한 질소 가스의 분위기로 된다. 이 때, 청정실(14)만이 정압공간이기 때문에, 포드(P), 청정실(14) 및 로드록실(13)이 일체화되면 그 공간의 압력이 저하되지만, 압력이 저하되어 차압이 소정치보다 작아지면 차압계(27)가 작동하고, 차압계(27)의 검출신호에 기초하는 지령신호에 의해 밸브 제어부(32)가 압력조절 밸브(26)를 열거나, 그 개방도를 크게 하여 질소 가스를 공간내로 보충함으로써, 일체화된 공간의 압력을 소정의 정압으로 유지한다.
상술한 정압상태하에서, 로드록실(13)내의 웨이퍼 반송장치(l3A)가 구동기구(l3B)를 매개하여 구동되어 포드(P)내의 웨이퍼를 일괄해서 로드록실(13)내로 반입한다. 이 때, 웨이퍼가 청정실(14)을 통과하지만, 설령 오프너(18)나 웨이퍼 반송장치(l3A)의 가동부 등으로부터 파티클 등이 발생하거나, 구동기구의 윤활유 등에 기인한 유기계 불순물 가스가 혼입되는 일이 있어도, 이들 불순물이 웨이퍼 표면에 부착되어 웨이퍼 처리의 원료대 제품비를 저하시킬 우려가 없다. 왜냐 하면, 일체화된 공간내의 질소가스는 항상 순환하고 있고, 질소가스가 청정실(14)내를 순환하는 사이에 이들 불순물은 고성능 필터(21) 및 케미컬 필터(22)에 의해 제거되기 때문이다.
또, 웨이퍼 반송장치(l3A)는 13매 또는 25매의 웨이퍼를 서로 근소한 간격으로 수평으로 지지하고 있기 때문에, 불활성 가스의 하강류가 웨이퍼간에 유입되는 일이 거의 없어, 모든 웨이퍼를 포드(P)내와 마찬가지로 청정한 상태로 청정실(14)을 통과시킬 수 있다. 게다가, 청정실(14)내는 정압상태로 되어 있기 때문에, 청정실(14)이 완전한 밀폐구조로 되어 있지 않아도 청정도가 저하한 장치영역(R2)의 공기가 흘러 들어갈 우려가 없어서, 항상 청정한 환경을 유지하므로, 웨이퍼를 불순물로 오염시킬 우려는 없다.
이와 같이 하여 웨이퍼를 로드록실(13)내로 반입하면, 청정실(14)에서는 오프너(18)가 구동되어 포드(P)를 뚜껑(P2)으로 밀폐하고, 로드록실(13)에서는 게이트 밸브(19)가 구동기구(l9A)를 매개하여 구동되어 로드록실(13)의 반출입구를 닫는다. 그 결과, 청정실(14)과 로드록실(13)이 차단된다. 이어서, 로드록실(13)을 진공상태로 만들고, 그 후 반송실(15)의 게이트 밸브(l5A)가 열림과 더불어 처리실 쪽의 반송실(15)의 게이트 밸브가 열려 로드록실(13)과 반송실(15)이 연통한다. 그리고, 반송실(15)의 반송장치가 구동되어 웨이퍼 반송장치(l3A)로 지지한 웨이퍼를 1매씩 소정의 처리실로 반송하고, 웨이퍼에 대해 소정의 처리를 실시한다. 각 처리실내에서의 소정의 처리가 종료되면, 역방향의 경로를 더듬어 처리실로부터 로드록실(13)내의 웨이퍼 반송장치(l3A)의 원래의 위치로 웨이퍼가 되돌려진다. 모든 웨이퍼에 대해 소정의 처리가 종료되면, 상술한 경우와 역방향의 경로를 더듬어 로드록실(13)로부터 포드(P)내로 모든 웨이퍼를 되돌린다. 그리고, 포드(P)를 다음 공정으로 이송한다.
이상 설명한 바와 같이 본 실시형태에 의하면, 처리장치(10)는 불활성 가스 공급원(31)과, 청정실(14)내로 청정한 불활성 가스를 도입하는 도입배관(25), 이 도입배관(25)내의 불활성 가스의 유량을 조절하는 밸브 제어부(32)를 갖춘 압력조절 밸브(26), 청정실(14)내의 압력과 대기압의 차이를 검출하는 차압계(27)를 구비하고, 차압계(27)의 검출치에 기초하여 밸브 제어부(32)가 압력조절 밸브(26)의 개방도를 조절하여 청정실(14)내의 압력을 정압으로 유지시키면서 불활성 가스를 청정실(14)내에서 고성능 필터(21) 및 케미컬 필터(22)를 통하여 순환시키도록 했기 때문에, 웨이퍼 반송장치(l3A)를 이용하여 포드(P)로부터 로드록실(13)로 웨이퍼를 반송할 때에, 웨이퍼가 청정실(14)을 통과해도 웨이퍼가 파티클이나 불순물 가스 등으로 오염될 우려가 없고, 금후 웨이퍼 처리가 초미세화되는 일이 있어도 웨이퍼 처리의 원료대 제품비의 저하를 방지할 수 있다. 또, 불활성 가스 공급원(31)으로부터 불활성 가스를 공급하므로 불활성 가스중에 수분이 포함되어 있지 않기 때문에, 또한 외부로부터 수분이 혼입될 우려도 없기 때문에, 로드록실(13)의 내벽면에수분이 부착되는 일이 없고, 그 진공배기시간이 길어지는 일도 없어 수분에 기인한 악영향을 방지할 수 있다.
도 3은 본 발명의 다른 실시형태를 나타낸 도면이다. 상기 실시형태의 경우에는 청정실(14)내에 불활성 가스를 도입하고 있지만, 본 실시형태의 처리장치(10A)는 불활성 가스 대신에 공용영역(R1)의 청정한 공기를 청정실(14)내로 도입한다. 그 밖의 구성은 상기 실시형태와 마찬가지로 구성되어 있다. 본 실시형태에 있어서 상기 실시형태와 동일 또는 상당하는 부분에는 동일한 부호를 붙이고, 본 실시형태의 특징을 중심으로 설명한다.
즉, 불활성 가스 공급원은 설치되지 않고, 프론트 패널(16)에는 공기도입구(l6D)가 형성되며, 이 공기도입구(l6D)에 도입배관(25)의 일단이 접속되어 있는 바, 이 도입배관(25)에는 흡인 팬(28)이 장치되고, 이 흡인 팬(28)에 의해 공용영역(Rl)의 청정한 공기를 흡인하여 청정실(14)내로 압송하여 청정실(14)내의 공기압력을 정압으로 유지시키도록 하고 있다. 그리고, 도입배관(25)의 타단은 상기 실시형태와 마찬가지로 청정실(14)의 송풍 팬(20)과 고성능 필터(21)의 사이에 삽입되어 있다. 또, 상기 실시형태와 마찬가지로, 도입배관(25)에는 밸브 제어부(32)를 갖춘 압력조절 밸브(26)가 장치되고, 청정실(14)에는 차압계(27)가 장치되어 있다. 청정실(14)내에 공용영역(Rl)내의 공기를 도입하는 것은, 이 공용영역(R1)의 공기가 장치영역(R2)의 공기와 같이 탄화수소 가스 등의 유기계 불순물 가스에 의해 오염되어 있을 가능성이 낮은 경우가 바람직하다. 이 경우, 청정실(14)내에 공용영역(Rl)의 공기를 도입해도, 청정실(14)에 있어서 웨이퍼가불순물 가스에 의해 오염될 우려가 없다. 더욱이, 공용영역(Rl)의 공기에 불순물 가스가 혼입되는 것과 같은 경우에는, 공용영역(Rl)의 천정의 고성능 필터(F)의 아랫쪽에 케미컬 필터(F1)를 장치해도 좋다. 다만, 웨이퍼에는 다소이기는 하지만 자연산화막이 형성될 우려가 있으므로, 약간의 자연산화막이 그 후의 처리에 지장을 초래하는 경우에는 상기 실시형태의 처리장치(10)를 적용하는 것이 바람직하다.
따라서, 본 실시형태의 처리장치(10A)내의 기체의 제어방법의 경우에는, 클린 룸(R)내의 공용영역(Rl)으로부터 청정한 공기를 청정실(14)내로 도입하고, 청정실(14)내의 공기의 압력을 정압으로 유지시키면서 도입공기를 필터를 통해 순환시키기 때문에, 만일 공기중에 파티클이나 불순물 가스가 어떠한 원인으로 혼입되었다 해도, 이들 불순물을 고성능 필터(21) 및 케미컬 필터(22)에 의해 확실히 제거할 수 있으므로, 웨이퍼 처리의 원료대 제품비를 저하시킬 우려는 없다. 그 밖에는 상기 실시형태와 마찬가지의 작용효과를 기대할 수 있다.
한편, 본 발명은 상기 각 실시형태에 하등 제한받는 것이 아닌 바, 요컨대 청정한 기체를 청정실(14)내로 도입하고, 그 기체의 압력을 정압으로 유지시키면서 도입기체를 필터를 통해 순환시키는 기술이라면 본원 발명에 포함된다. 또, 피처리체는 웨이퍼에 한정되지 않고, 유리기판 등이어도 좋다.
이상 설명한 바와 같이 본 발명에 의하면, 피처리체의 처리장치내에서의 불순물 가스의 부착을 방지하여 원료대 제품비의 저하를 방지할 수 있는 처리장치 및 처리장치내의 기체의 제어방법을 제공할 수 있다.

Claims (4)

  1. 격벽 등에 의해 공용영역으로부터 구획된 장치영역내에 설치되고, 공용영역으로부터 피처리체 반송용기에 의해 반송되는 피처리체를 처리하는 처리장치에 있어서,
    공용영역으로부터 반송되는 반송용기가 접속될 수 있으며, 그 안으로 또는 그것으로부터 피처리체를 반송하기 위한 개구부를 갖춘 청정실과,
    청정실에 인접하여 설치되고, 게이트 밸브를 매개하여 청정실과 연통하며, 진공상태로 되게 할 수 있는 로드록실,
    피처리체를 반송용기로부터 로드록실로 끄집어 낼 수 있는 반송장치,
    청정실내로 청정한 불활성 가스를 도입하는 도입로,
    청정실내의 압력을 정압으로 유지하는 압력조정수단,
    청정실의 상부에 설치되어 청정실내의 기체가 하강류로 흐르도록 하는 송풍팬,
    기체가 청정실의 하부로부터 송풍팬의 상류부로 순환하도록 하는 순환덕트,
    청정실의 상부의 송풍 팬의 하류부에 설치되어 기체로부터 미립자를 제거하는 고성능 필터 및,
    청정실의 상부의 송풍 팬의 하류부에 설치되어 기체로부터 불순물 가스를 제거하는 케미컬 필터를 구비하고,
    도입로가 청정실의 송풍 팬과 2개의 필터의 사이에 접속되어 있는 것을 특징으로 하는 처리장치.
  2. 제1항에 있어서, 압력조정수단은, 도입로내의 기체의 유량을 조절하는 밸브와,
    청정실내의 압력과 대기압의 압력차를 검출하는 차압계 및,
    차압계의 검출결과에 기초하여 청정실내의 압력이 정압으로 유지되도록 밸브의 개방도를 조절하는 밸브 제어부를 갖춘 것을 특징으로 하는 처리장치.
  3. 제1항에 있어서, 압력조정수단은, 공용영역으로부터 청정한 공기를 흡입하는 흡입 팬과,
    도입로내의 기체의 유량을 조절하는 밸브,
    청정실내의 압력과 대기압의 압력차를 검출하는 차압계 및,
    차압계의 검출결과에 기초하여 청정실내의 압력이 정압으로 유지되도록 밸브의 개방도를 조절하는 밸브 제어부를 갖춘 것을 특징으로 하는 처리장치.
  4. 격벽 등에 의해 공용영역으로부터 구획된 장치영역내에 설치되고, 공용영역으로부터 피처리체 반송용기에 의해 반송되는 피처리체를 처리하는 처리장치에 있어서,
    공용영역으로부터 반송되는 반송용기가 접속될 수 있으며, 그 안으로 또는 그것으로부터 피처리체를 반송하기 위한 개구부를 갖춘 청정실과,
    청정실에 인접하여 설치되고, 게이트 밸브를 매개하여 청정실과 연통하며, 진공상태로 되게 할 수 있는 로드록실,
    피처리체를 진공상태로 끄집어 낼 수 있고, 퍼처리체를 반송용기로부터 로드록실로 끄집어 낼 수 있는 반송장치,
    공용영역으로부터 청정실로 청정한 공기를 도입하는 도입로,
    청정실내의 압력을 정압으로 유지하는 압력조정수단,
    청정실의 상부에 설치되어 청정실내의 기체가 하강류로 흐르도록 하는 송풍팬,
    기체가 청정실의 하부로부터 송풍 팬의 상류부로 순환하도록 하는 순환덕트,
    청정실의 상부의 송풍 팬의 하류부에 설치되어 기체로부터 미립자를 제거하는 고성능 필터 및,
    송풍 팬과 2개의 필터 사이에서 청정실의 상부의 송풍 팬의 하류부에 설치된 케미컬 필터를 구비하고,
    도입로가 청정실의 송풍 팬과 2개의 필터의 사이에 접속되어 있는 것을 특징으로 하는 처리장치.
KR10-1998-0032522A 1997-08-12 1998-08-11 처리장치및처리장치내의기체의제어방법 KR100453090B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP97-230221 1997-08-12
JP23022197A JP3425592B2 (ja) 1997-08-12 1997-08-12 処理装置

Publications (2)

Publication Number Publication Date
KR19990023508A KR19990023508A (ko) 1999-03-25
KR100453090B1 true KR100453090B1 (ko) 2005-01-13

Family

ID=16904460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0032522A KR100453090B1 (ko) 1997-08-12 1998-08-11 처리장치및처리장치내의기체의제어방법

Country Status (4)

Country Link
US (1) US6224679B1 (ko)
JP (1) JP3425592B2 (ko)
KR (1) KR100453090B1 (ko)
TW (1) TW386243B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033408B1 (ko) 2008-01-08 2011-05-09 티디케이가부시기가이샤 수용 대상물 이송 시스템

Families Citing this family (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204411A (ja) * 1998-01-19 1999-07-30 Nikon Corp 塗布現像露光装置
KR100307628B1 (ko) * 1999-04-03 2001-10-29 윤종용 반도체 제조설비의 청정방법 및 이를 적용한 반도체 제조 설비
JP4260298B2 (ja) * 1999-07-27 2009-04-30 株式会社ルネサステクノロジ 半導体部品の製造方法
JP4731650B2 (ja) * 1999-12-21 2011-07-27 東京エレクトロン株式会社 半導体製造機器の換気方法及び換気設備
JP4246343B2 (ja) * 2000-01-06 2009-04-02 株式会社荏原製作所 ガス雰囲気形成装置及びガス雰囲気形成方法
JP3998386B2 (ja) * 2000-01-26 2007-10-24 三菱電機株式会社 液晶表示装置の製造装置および液晶表示装置の製造方法
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
KR100627016B1 (ko) * 2000-07-03 2006-09-22 삼성전자주식회사 반도체 제조를 위한 크린룸
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
TW501194B (en) * 2000-08-23 2002-09-01 Tokyo Electron Ltd Processing system for object to be processed
JP2002252261A (ja) * 2001-02-23 2002-09-06 Nec Corp 半導体検査装置及び半導体露光装置
DE60219844T2 (de) * 2001-03-01 2008-01-17 Asml Netherlands B.V. Verfahren zur Übernahme einer lithographischen Maske
US6543981B1 (en) * 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6497734B1 (en) 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6906783B2 (en) * 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
JP4531557B2 (ja) * 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
JP4344593B2 (ja) * 2002-12-02 2009-10-14 ローツェ株式会社 ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
KR100483428B1 (ko) * 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
TWI228750B (en) * 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
EP1780785A4 (en) * 2004-06-21 2009-04-01 Right Mfg Co Ltd LOADING PORT
US9010384B2 (en) 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
KR100583730B1 (ko) * 2004-06-29 2006-05-26 삼성전자주식회사 기판 이송 시스템 및 상기 시스템의 프레임 내 압력을조절하는 방법
JP4744175B2 (ja) * 2005-03-31 2011-08-10 東京エレクトロン株式会社 基板処理装置
US8353986B2 (en) 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP4584821B2 (ja) * 2005-12-14 2010-11-24 東京エレクトロン株式会社 真空処理装置及び帯状気流形成装置
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
JP2009127981A (ja) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd クリーンルーム、成膜方法、および半導体装置の作製方法
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
US8891080B2 (en) * 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
JP5806811B2 (ja) * 2010-10-01 2015-11-10 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5085716B2 (ja) * 2010-11-02 2012-11-28 株式会社東芝 サーバ室管理用の空調システム、およびこれを利用したサーバ管理用システム、空調制御方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6024980B2 (ja) * 2012-10-31 2016-11-16 Tdk株式会社 ロードポートユニット及びefemシステム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6005588B2 (ja) * 2013-05-31 2016-10-12 東京エレクトロン株式会社 液処理装置
JP6198043B2 (ja) * 2013-06-06 2017-09-20 Tdk株式会社 ロードポートユニット及びefemシステム
US10269603B2 (en) * 2013-07-09 2019-04-23 Kokusai Electric Corporation Substrate processing apparatus, gas-purging method, method for manufacturing semiconductor device, and recording medium containing abnormality-processing program
JP6526660B6 (ja) 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
JP6349750B2 (ja) * 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
TW202349607A (zh) * 2013-12-13 2023-12-16 日商昕芙旎雅股份有限公司 搬運機械手臂
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6536090B2 (ja) * 2015-03-06 2019-07-03 シンフォニアテクノロジー株式会社 搬送装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178133A (ja) * 2015-03-19 2016-10-06 シンフォニアテクノロジー株式会社 ドア開閉装置、搬送装置、ソータ装置、収納容器のドッキング方法
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
SG11201900801XA (en) * 2016-08-08 2019-02-27 Shin Etsu Handotai Co Ltd Load port and method for carrying wafers
JP7023065B2 (ja) * 2016-09-13 2022-02-21 株式会社Screenホールディングス 基板処理装置
WO2018051825A1 (ja) 2016-09-13 2018-03-22 株式会社Screenホールディングス 基板処理装置
JP2018053299A (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、及び断熱配管構造
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6885132B2 (ja) * 2017-03-22 2021-06-09 Tdk株式会社 Efem及びefemのガス置換方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102139249B1 (ko) * 2018-04-03 2020-07-29 우범제 이에프이엠
US10403514B1 (en) 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6973340B2 (ja) * 2018-09-20 2021-11-24 信越半導体株式会社 給排気制御装置、ウェーハ処理装置、及びウェーハ処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6777869B2 (ja) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 Efem装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113492139B (zh) * 2021-07-01 2022-07-08 山东天岳先进科技股份有限公司 一种提高籽晶清洁度的处理方法及装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02128421A (ja) 1988-11-08 1990-05-16 Tokyo Electron Ltd プラズマ処理装置
US5626820A (en) * 1988-12-12 1997-05-06 Kinkead; Devon A. Clean room air filtering
JP2807150B2 (ja) 1992-08-31 1998-10-08 松下電器産業株式会社 環境制御装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
TW273574B (ko) * 1993-12-10 1996-04-01 Tokyo Electron Co Ltd
JP3486462B2 (ja) 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
JPH08148551A (ja) 1994-11-25 1996-06-07 U M S:Kk 基板清浄化方法および基板収納装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP2867946B2 (ja) * 1996-03-13 1999-03-10 日本電気株式会社 気相成長装置
EP0827186A3 (en) * 1996-08-29 1999-12-15 Tokyo Electron Limited Substrate treatment system
JP3654612B2 (ja) * 1996-09-24 2005-06-02 株式会社ルネサステクノロジ クリーンルーム
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
SG77254A1 (en) * 1997-01-31 2000-12-19 Takasago Thermal Engineering Air cleaning apparatus air filter and method for manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033408B1 (ko) 2008-01-08 2011-05-09 티디케이가부시기가이샤 수용 대상물 이송 시스템

Also Published As

Publication number Publication date
JP3425592B2 (ja) 2003-07-14
US6224679B1 (en) 2001-05-01
KR19990023508A (ko) 1999-03-25
TW386243B (en) 2000-04-01
JPH1163604A (ja) 1999-03-05

Similar Documents

Publication Publication Date Title
KR100453090B1 (ko) 처리장치및처리장치내의기체의제어방법
US7065898B2 (en) Module for transferring a substrate
JP7008834B2 (ja) ファクトリインターフェースチャンバのフィルタパージを用いた基板処理装置及び方法
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
JP4553574B2 (ja) 基板移送モジュールの汚染を制御することができる基板処理方法
TWI778300B (zh) 側面儲存盒、設備前端模組、及用於操作efem的方法
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
US20110129321A1 (en) Workpiece stocker with circular configuration
CN112912999A (zh) 高流速、气体净化的侧储存舱装置、组件和方法
KR20010015207A (ko) 기판운반용기
KR101462241B1 (ko) 웨이퍼용 개구통합형 포드의 퍼지장치
US20070130738A1 (en) Vacuum processing apparatus and zonal airflow generating unit
JPH06232064A (ja) 熱処理装置
KR102226506B1 (ko) 반송실 내의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
KR20200084171A (ko) 기판 처리 장치 및 기판 처리 방법
KR20210023653A (ko) 로드포트모듈의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
JPH04233747A (ja) キャリアストッカ
KR100612421B1 (ko) 기판 이송 시스템
JPH06132186A (ja) 半導体製造装置
KR20190119540A (ko) 기판 이송 시스템, 저장 매체 및 기판 이송 방법
KR20230111298A (ko) Efem의 기류 안정화 배기장치 및 이를 구비한 반도체 공정장치
KR20230096337A (ko) 로드포트모듈의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
KR20230165338A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR0167121B1 (ko) 반도체 제조장치
KR20060112825A (ko) 반도체 제조설비의 개스공급장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090925

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee