KR100483428B1 - 기판 가공 장치 - Google Patents

기판 가공 장치 Download PDF

Info

Publication number
KR100483428B1
KR100483428B1 KR10-2003-0004848A KR20030004848A KR100483428B1 KR 100483428 B1 KR100483428 B1 KR 100483428B1 KR 20030004848 A KR20030004848 A KR 20030004848A KR 100483428 B1 KR100483428 B1 KR 100483428B1
Authority
KR
South Korea
Prior art keywords
substrate transfer
substrate
panel
transfer chamber
moving
Prior art date
Application number
KR10-2003-0004848A
Other languages
English (en)
Other versions
KR20040067634A (ko
Inventor
이수웅
황정성
이건형
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0004848A priority Critical patent/KR100483428B1/ko
Priority to US10/704,667 priority patent/US20040144316A1/en
Priority to JP2004010378A priority patent/JP2004228576A/ja
Publication of KR20040067634A publication Critical patent/KR20040067634A/ko
Application granted granted Critical
Publication of KR100483428B1 publication Critical patent/KR100483428B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 기판을 가공하기 위한 장치에서, 차압계는 기판 이송 모듈의 내부 압력과 클린룸의 압력 사이의 차압을 측정하고, 압력 조절 유닛은 측정된 차압에 따라 기판 이송 모듈의 내부 압력을 조절한다. 압력 조절 유닛은 기판 이송 모듈의 팬 필터 유닛으로부터 공급된 청정한 공기를 배출하기 위한 다수의 제1배출공들을 갖는 베이스 패널과, 베이스 패널과 평행하게 배치되며 다수의 제2배출공을 갖는 이동 패널과, 이동 패널을 이동시키기 위한 구동부를 포함한다. 제어 유닛은 측정된 차압에 따라 구동부의 동작을 제어하며, 구동부는 차압을 기 설정된 차압으로 유지하기 위해 이동 패널을 이동시킨다.

Description

기판 가공 장치{Apparatus for processing a substrate}
본 발명은 기판 가공 장치에 관한 것이다. 보다 상세하게는, 기판을 수납하기 위한 용기로부터 기판을 가공하기 위한 기판 가공 모듈로 기판을 이송하기 위한 기판 이송 모듈을 갖는 기판 가공 장치에 관한 것이다.
일반적으로 반도체 장치는 반도체 기판으로 사용되는 실리콘웨이퍼 상에 전기적인 회로를 형성하는 팹(fabrication; 'FAB') 공정과, 상기 팹 공정에서 형성된 반도체 장치들의 전기적인 특성을 검사하는 공정과, 상기 반도체 장치들을 각각 에폭시 수지로 봉지하고 개별화시키기 위한 패키지 조립 공정을 통해 제조된다.
상기 팹 공정은 반도체 기판 상에 막을 형성하기 위한 증착 공정과, 상기 막을 평탄화하기 위한 화학적 기계적 연마 공정과, 상기 막 상에 포토레지스트 패턴을 형성하기 위한 포토리소그래피 공정과, 상기 포토레지스트 패턴을 이용하여 상기 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 반도체 기판의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 반도체 기판 상의 불순물을 제거하기 위한 세정 공정과, 상기 막 또는 패턴이 형성된 반도체 기판의 표면을 검사하기 위한 검사 공정 등을 포함한다.
상기와 같은 반도체 기판 가공 공정들은 반도체 기판의 오염을 방지하기 위한 고진공 상태에서 수행된다. 또한, 반도체 장치의 생산성을 향상시키기 위해 반도체 기판 가공 장치는 저진공 상태로 유지되는 로드록 챔버와 가공 공정을 수행하기 위한 공정 챔버 및 로드록 챔버와 공정 챔버 사이에서 반도체 기판을 이송하기 위한 기판 이송 챔버를 포함한다.
최근, 300mm의 직경을 갖는 반도체 기판의 가공 공정(예를 들면, 증착 공정, 건식 식각 공정 등)을 수행하기 위한 장치는 로드록 챔버, 기판 이송 챔버 및 공정 챔버 이외에 반도체 기판을 수납하기 위한 개구 통합형 포드(Front Opening Unified Pod; 이하 'FOUP'라 한다)를 지지하기 위한 로드 포트와, 로드 포트와 로드록 챔버 사이에서 반도체 기판을 이송하기 위한 기판 이송 모듈을 포함한다.
기판 이송 모듈은 로드 포트와 로드록 챔버를 연결하는 기판 이송 챔버와, 상기 기판 이송 챔버의 내부에 배치되며 FOUP와 로드록 챔버 사이에서 반도체 기판을 이송하기 위한 기판 이송 로봇을 포함한다.
기판 이송 챔버의 상측 부위에는 FOUP에 수납된 반도체 기판들 및 기판 이송 로봇에 의해 이송되는 반도체 기판의 오염을 방지하기 위해 기판 이송 챔버의 내부로 청정한 공기를 제공하는 팬 필터 유닛(fan filter unit; FFU)이 배치되어 있고, 기판 이송 챔버의 바닥 패널에는 상기 팬 필터 유닛으로부터 공급된 청정한 공기를 기판 이송 챔버의 외부 즉, 기판 가공 장치가 설치된 클린룸(clean room)으로 배출하기 위한 다수의 배출공들이 형성되어 있다.
상기와 같은 기판 가공 장치의 기판 이송 모듈에서, 기판 이송 챔버의 내부 압력이 클린룸의 압력보다 낮은 경우, 클린룸의 공기가 다수의 배출공들을 통해 유입될 수 있다. 클린룸의 공기가 다수의 배출공들을 통해 기판 이송 챔버의 내부로 역류하는 경우, FOUP에 수납된 반도체 기판들 및 기판 이송 로봇에 의해 이송되는 반도체 기판이 오염될 수 있다. 따라서, 기판 이송 챔버의 내부 압력은 클린룸의 압력보다 높은 상태를 유지하는 것이 바람직하다. 여기서, 반도체 장치의 제조 공정이 수행되는 클린룸의 압력은 일반적으로 양압(positive pressure)이다.
일 예로서, 미합중국 특허 제6,224,679호(issued to Sasaki, et al.)는 용기 수납 챔버(container-housing chamber)와, 청정실(cleaning chamber) 및 로드록 챔버를 갖는 웨이퍼 가공 시스템이 개시되어 있다. 상기 미합중국 특허 제6,224,679호에 따르면, 청정실은 청정 가스를 유입시키기 위한 유입 라인과, 청정실의 내부 압력을 조절하기 위한 압력 조절 수단을 갖는다. 압력 조절 수단은 유입 가스의 유량을 조절하기 위한 밸브와, 청정실의 내부 압력과 대기압 사이의 차압(differential pressure)을 검출하기 위한 차압계(differential pressure gauge)와, 상기 차압에 따라 청정실의 내부 압력을 양압으로 유지하기 위해 상기 밸브의 개방 정도를 조절하기 위한 밸브 제어부를 포함한다.
또한, 미합중국 특허 제6,364,762호(Kaveh, et al.)는 잔여 반응 가스(residual processing gas) 및 파티클에 의한 웨이퍼 오염을 감소시키기 위한 웨이퍼 이송 모듈을 개시하고 있다. 상기 미합중국 특허 제6,364,762호에 따르면, 웨이퍼들이 수납된 카세트는 밀폐된 하우징의 내부에 배치된 선반(shelf) 상에 지지되며, 하우징의 상부 영역에 배치된 송풍기(blower)는 하향 공기 흐름을 발생시키고, 선반과 연결된 다공성 시트(perforated sheet)는 카세트를 통한 새로운 공기 흐름을 유도한다.
그러나, 클린룸의 대기 상태가 불안정한 경우 파티클들이 클린룸으로부터 기판 이송 챔버의 내부로 유입될 수 있다. 즉, 클린룸의 대기 환경이 급격하게 변화되거나 클린룸의 압력이 기판 이송 챔버의 내부 압력보다 높은 경우 다량의 파티클들이 기판 이송 챔버의 내부로 유입된다. 또한, 기판 이송 챔버의 내부에 배치되는 기판 이송 로봇 또는 FOUP의 도어를 개방하기 위한 도어 오프너의 유지 보수를 위하여 기판 이송 챔버의 일측 패널을 개방하는 경우, 기판 이송 챔버의 개방된 부위를 통해 다량의 파티클들이 기판 이송 챔버의 내부로 유입된다. 기판 이송 챔버로 유입되어 기판 이송 챔버 내부에 잔류하는 파티클들은 반도체 기판의 오염을 발생시키고, 반도체 장치의 신뢰도 및 생산성을 저하시킨다.
상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 클린룸의 압력과 기판 이송 챔버의 내부 압력 사이의 차압을 일정하게 유지하기 위한 기판 가공 장치를 제공하는데 있다.
상기 목적을 달성하기 위한 본 발명은, 다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트와, 상기 용기로부터 이송된 기판을 가공하기 위한 기판 가공 모듈과, 상기 로드 포트와 상기 기판 가공 모듈을 연결하는 기판 이송 챔버와, 상기 기판 이송 챔버의 내부에 배치되며 상기 기판을 이송하기 위한 기판 이송 로봇을 포함하는 기판 이송 모듈과, 상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버로 청정한 공기를 제공하기 위한 팬 필터 유닛과, 상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버의 내부 압력과 외부 압력 사이의 차압을 측정하기 위한 차압계와, 상기 기판 이송 챔버로 공급된 청정한 공기를 배출하며, 상기 차압에 따라 상기 청정한 공기의 배출 유량을 조절하여 상기 내부 압력이 상기 외부 압력보다 높은 상태를 유지하기 위한 압력 조절 수단을 포함하는 것을 특징으로 하는 기판 가공 장치를 제공한다.
본 발명의 일 실시예에 따르면, 상기 압력 조절 수단은 다수의 제1배출공을 갖는 베이스 패널과, 베이스 패널과 평행하게 배치되며 다수의 제2배출공을 갖는 이동 패널과, 이동 패널을 이동시키기 위한 구동부를 포함한다.
상기 베이스 패널은 상기 기판 이송 챔버를 상기 청정한 공기가 공급되는 상부의 기판 이송 공간과 상기 기판 이송 공간으로 공급된 청정한 공기를 하부의 공기 배출 공간으로 분할하고, 기판 이송 공간으로 공급된 청정한 공기는 베이스 패널의 제1배출공들과 이동 패널의 제2배출공들을 통해 공기 배출 공간으로 배출된다.
제어 유닛은 기판 이송 챔버의 내부 압력을 클린룸의 압력보다 높은 상태로 유지하기 위해 차압계로부터 측정된 차압에 따라 상기 구동부의 동작을 제어한다. 제1배출공들과 제2배출공들을 통해 배출되는 청정한 공기의 유량은 이동 패널의 이동에 따라 변화되며, 이에 따라 기판 이송 챔버의 내부 압력이 변화된다. 즉, 구동부는 차압계로부터 측정된 차압이 기 설정된 차압보다 높은 경우, 제2배출공들의 제2중심축들이 제1배출공들의 제1중심축들로부터 이격되도록 이동 패널을 이동시키고, 차압계로부터 측정된 차압이 기 설정된 차압보다 낮은 경우, 제2배출공들의 제2중심축들이 제1배출공들의 제1중심축들과 일치하도록 이동 패널을 이동시킨다.
따라서, 기판 이송 챔버의 내부 압력과 클린룸의 압력 사이에는 항상 일정한 차압이 유지될 수 있으며, 클린룸의 대기 환경이 급격하게 변화되거나 유지 보수를 위해 기판 이송 챔버의 일측 패널을 개방시키는 경우 기판 이송 챔버의 오염을 효과적으로 억제할 수 있다.
이하, 본 발명에 따른 바람직한 실시예를 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다.
도 1은 본 발명의 제1실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이고, 도 2는 도 1에 도시된 기판 가공 장치를 보여주는 개략적인 평면도이다.
도 1 및 도 2를 참조하면, 제1실시예에 따른 기판 가공 장치(100)는 반도체 기판(10)을 가공하는 공정을 수행한다. 예를 들면, 반도체 기판(10) 상에 막을 형성하기 위한 증착 공정, 반도체 기판(10) 상에 형성된 막을 전기적 특성을 갖는 패턴으로 형성하기 위한 건식 식각 공정 등이 있다. 기판 가공 장치(100)는 로드 포트(102)와, 기판 이송 모듈(104)과, 기판 가공 모듈(106)과, 로드록 챔버(108)를 포함한다.
로드 포트(102)는 기판 이송 모듈(104)과 연결되며, 다수의 반도체 기판(10)을 수납하는 용기를 지지한다. 상기 용기로는 FOUP(20)가 사용될 수 있다. 상세히 도시되지는 않았지만, 로드 포트(102)는 FOUP(20)를 지지하고, FOUP(20)를 기판 가공 모듈(104)의 도어(110)에 밀착시키기 위해 이동시킨다.
기판 이송 모듈(104)은 로드 포트(102)와 로드록 챔버(108)를 연결하는 제1 기판 이송 챔버(112)와, 제1 기판 이송 챔버(112)의 내부에 배치되며 로드 포트(102)에 지지된 FOUP(20)와 로드록 챔버(108) 사이에서 반도체 기판(10)을 이송하기 위한 제1 기판 이송 로봇(114)을 포함한다.
제1 기판 이송 챔버(112)의 상부에는 제1 기판 이송 챔버(112)의 내부로 청정한 공기를 제공하기 위한 팬 필터 유닛(116)이 배치되어 있으며, 제1 기판 이송 챔버(112)의 바닥 패널(118)에는 팬 필터 유닛(116)으로부터 제공된 청정한 공기를 기판 가공 장치(100)가 설치된 클린룸(30)으로 배출하기 위한 다수의 개구(118a)가 형성되어 있다.
제1 기판 이송 로봇(100)은 제1 기판 이송 챔버(112)의 바닥 패널(118) 상에 배치되어 있고, FOUP(20)의 도어(22)를 개방하기 위한 도어 오프너(120)가 기판 이송 모듈(104)의 도어(110)와 연결되어 있다. 도시되지는 않았으나, 기판 이송 모듈(104)의 도어(110)에는 FOUP(20)의 도어(22)를 개폐하기 위한 도어 개폐 유닛(미도시)이 설치되어 있다.
기판 가공 모듈(106)은 로드록 챔버(108)를 통해 기판 이송 모듈(104)과 연결되어 있으며, 반도체 기판(10)을 가공하기 위한 다수의 공정 챔버(160)들과, 로드록 챔버(108)와 다수의 공정 챔버(160)들을 연결하기 위한 제2 기판 이송 챔버(162)와, 제2 기판 이송 챔버(162)의 내부에 배치되며 로드록 챔버(108)와 다수의 공정 챔버(160)들 사이에서 반도체 기판(10)을 이송하기 위한 제2 기판 이송 로봇(164)을 포함한다.
제1 기판 이송 챔버(112)와 로드록 챔버(108)는 제1슬릿 밸브(166)에 의해 연결되고, 로드록 챔버(108)와 제2 기판 이송 챔버(162)는 제2슬릿 밸브(168)에 의해 연결되며, 제2 기판 이송 챔버(162)와 공정 챔버(160)는 제3슬릿 밸브(미도시)에 의해 연결된다.
한편, 제1 기판 이송 챔버(112)의 내부 압력 및 클린룸(30)의 압력을 측정하고, 제1 기판 이송 챔버(112)의 내부 압력 및 클린룸(30)의 압력 사이의 차압을 측정하기 위한 차압계(150)가 제1 기판 이송 챔버(112)에 연결되어 있다.
기판 가공 장치(100)는 제1 기판 이송 챔버(112)의 내부 압력을 조절하기 위한 압력 조절 유닛(130)을 갖는다. 압력 조절 유닛(130)은 팬 필터 유닛(116)으로부터 공급된 청정한 공기를 배출하기 위한 베이스 패널(132)과 이동 패널(134) 및 이동 패널(134)을 이동시키기 위한 구동부(136)를 포함한다.
베이스 패널(132)을 제1 기판 이송 챔버(112)의 내부에 수평 방향으로 배치되며, 제1 기판 이송 챔버(112)의 내부 공간을 팬 필터 유닛(116)과 인접한 기판 이송 공간(112a)과 제1 기판 이송 챔버(112)의 바닥 패널(118)과 인접한 공기 배출 공간(112b)으로 분할한다. 기판 이송 공간(112a)은 팬 필터 유닛(116)과 제1 기판 이송 챔버(112)의 내측면들과 베이스 패널(132)에 의해 한정되고, 공기 배출 공간(112b)은 베이스 패널(132)과 제1 기판 이송 챔버(112)의 내측면들과 바닥 패널(118)에 의해 한정된다.
베이스 패널(132)에는 기판 이송 공간(112a)으로 공급된 청정한 공기를 공기 배출 공간(112b)으로 배출하기 위한 다수의 제1배출공(132a)들이 형성되어 있다. 베이스 패널(132)의 상부에는 베이스 패널(132)과 평행한 방향으로 이동 패널(134)이 이동 가능하게 배치되어 있다. 이동 패널(134)에는 기판 이송 공간(112a)으로 공급된 청정한 공기를 공기 배출 공간(112b)으로 배출하기 위한 다수의 제2배출공(134a)들이 형성되어 있다. 팬 필터 유닛(116)은 기판 이송 공간(112a)으로 청정한 공기를 공급하며, 팬 필터 유닛(116)으로부터 공급된 청정한 공기는 기판 이송 공간(112a)으로부터 제1배출공(132a)들 및 제2배출공(134a)들을 통해 공기 배출 공간(112b)으로 배출되고, 제1 기판 이송 챔버(112)의 바닥 패널(118)에 형성된 개구(118a)들을 통해 클린룸(30)으로 배출된다.
구동부(136)는 베이스 패널(132)의 일측 상부면에 배치되며, 이동 패널(134)과 연결되어 있다. 구동부(136)는 제1 기판 이송 챔버(112)의 내부 압력을 조절하기 위해 이동 패널(134)을 수평 방향으로 이동시킨다.
차압계(150)는 제어 유닛(152)과 연결되어 있으며, 차압계(150)로부터 생성된 차압 신호는 제어 유닛(152)으로 전송된다. 제어 유닛(152)은 구동부(136)와 연결되어 있으며, 상기 차압 신호에 따라 구동부(136)의 동작을 제어함으로써 제1 기판 이송 챔버(112)의 기판 이송 공간(112a)과 클린룸(30) 사이의 차압을 기 설정된 차압으로 일정하게 유지한다.
도 3은 도 1에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이고, 도 4a 및 도 4b는 도 1에 도시된 압력 조절 유닛의 동작을 설명하기 위한 단면도이다.
도 3을 참조하면, 압력 조절 유닛(130)은 베이스 패널(132), 이동 패널(134) 및 구동부(136)를 포함한다. 베이스 패널(132)은 사각 형상을 가지며, 다수의 제1배출공(132a)들을 갖는다. 베이스 패널(132)의 상부면에는 이동 패널(134)을 안내하기 위한 한 쌍의 안내부재(138)가 이동 패널(134)의 이동 방향과 평행하게 배치되어 있으며, 한 쌍의 안내 부재(138)는 이동 패널(134)의 양측 부위를 지지한다.
이동 패널(134)은 사각 형상을 가지며, 다수의 제2배출공(134a)들을 갖는다. 이동 패널(134)은 베이스 패널(132)의 상부에서 수평 방향으로 배치되어 있으며, 구동부(136)와 연결되어 있다. 이동 패널(134)의 이동 방향에 대하여 이동 패널(134)의 하부면 전, 후단부에는 이동 패널(134)과 베이스 패널(132) 사이로 청정한 공기가 유입되는 것을 방지하기 위한 한 쌍의 커버(140)가 각각 하방으로 연장되어 있다.
베이스 패널(132)에는 제1 기판 이송 로봇(114)이 통과하는 원형의 관통공(132b)이 형성되어 있고, 이동 패널(134)에는 구동부(136)에 의해 이동하는 이동 패널(134)과 제1 기판 이송 로봇(114) 사이의 간섭을 방지하기 위한 장공(134b)이 형성되어 있다. 원형의 관통공(132b)은 베이스 패널(132)의 중앙 부위에 형성되어 있으며, 장공(134b)은 이동 패널(134)의 중앙 부위에 형성되어 있다. 바닥 패널(118) 상에 배치된 제1 기판 이송 로봇(114)은 베이스 패널(132)의 원형의 관통공(132b)과 이동 패널(134)의 장공(134b)을 통해 상방으로 연장된다.
구동부(136)로는 유압 실린더 또는 공압 실린더가 사용될 수 있다. 그러나, 구동부(136)는 다양한 방식의 구동 장치와 동력 전달 장치에 의해 구현될 수 있다. 예를 들면, 모터와 볼 스크루 방식의 동력 전달 장치의 조립체가 구동부(136)로서 사용될 수 있다.
도 4a 및 도 4b를 참조하면, 제1 기판 이송 챔버(112)의 내부 압력과 클린룸(30)의 압력 사이의 차압이 기 설정된 범위보다 초과하는 경우, 구동부(136)는 이동 패널(134)의 제2배출공(134a)들의 중심축들이 베이스 패널(132)의 제1배출공(132a)들의 중심축들과 일치하도록 이동 패널(134)을 이동시킨다. 이와 반대로, 제1 기판 이송 챔버(112)의 내부 압력과 클린룸(30)의 압력 사이의 차압이 기 설정된 범위보다 낮은 경우, 구동부(136)는 이동 패널(134)의 제2배출공(134a)들의 중심축들이 베이스 패널(132)의 제1배출공(132a)들의 중심축들로부터 이격되도록 이동 패널(134)을 이동시킨다. 여기서, 차압은 클린룸(30)의 파티클들이 제1 기판 이송 챔버(112)의 내부로 유입되는 것을 방지하기 위해 양압으로 설정되는 것이 바람직하다.
이동 패널(134)의 제2배출공(134a)들의 중심축들과 베이스 패널(132)의 제1배출공(132a)들의 중심축들과 일치하는 경우 청정한 공기의 유동 저항이 감소한다. 결과적으로, 청정한 공기의 배출 유량이 증가되고, 이에 따라 제1 기판 이송 챔버(112)의 내부 압력과 클린룸(30)의 압력 사이의 차압이 저하된다. 이와 반대로, 이동 패널(134)의 제2배출공(134a)들의 중심축들이 베이스 패널(132)의 제1배출공(132a)들의 중심축들로부터 이격될수록 청정한 공기의 유동 저항은 상승한다. 결과적으로, 청정한 공기의 배출 유량이 감소되고, 이에 따라 제1 기판 이송 챔버(112)의 내부 압력과 클린룸(30)의 압력 사이의 차압이 점차 높아진다.
도 5는 본 발명의 제2실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이고, 도 6은 도 5에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이다.
도 5 및 도 6을 참조하면, 제2실시예에 따른 기판 가공 장치(200)는 다수의 반도체 기판(10)들이 수용된 FOUP(20)를 지지하기 위한 로드 포트(202)와, 반도체 기판(10)을 가공하기 위한 기판 가공 모듈(미도시, 도 1 및 도 2 참조)과, FOUP(20)에 수용된 반도체 기판(10)들을 이송하기 위한 기판 이송 모듈(204)과, 기판 가공 모듈과 기판 이송 모듈(104)의 사이에 배치된 로드록 챔버(미도시, 도 1 및 도 2 참조)를 포함한다.
기판 이송 모듈(204)은 로드 포트(202)와 로드록 챔버 사이에 배치되는 제1 기판 이송 챔버(212)와, 제1 기판 이송 챔버(212)의 내부에 배치되며 로드 포트(202)와 로드록 챔버 사이에서 반도체 기판(10)을 이송하기 위한 제1 기판 이송 로봇(214)과, 제1 기판 이송 챔버(212)의 도어(210)에 밀착된 로드 포트(20)의 도어(22)를 개폐하기 위한 도어 오프너(120)와, 제1 기판 이송 챔버(212)의 내부로 청정한 공기를 공급하기 위한 팬 필터 유닛(216)과, 제1 기판 이송 챔버(212)의 내부 압력과 클린룸(30)의 압력 사이의 차압을 측정하기 위한 차압계(250)와, 상기 차압에 따라 제1 기판 이송 챔버(212)의 내부 압력을 조절하기 위한 압력 조절 유닛(230)을 포함한다.
상기와 같은 구성 요소들에 대한 추가적인 상세 설명은 도 1 및 도 2에 도시된 기판 가공 장치와 관련하여 이미 설명된 것들과 유사하므로 생략하기로 한다.
압력 조절 유닛(230)은 베이스 패널(232)과, 이동 패널(234) 및 이동 패널(234)을 이동시키기 위한 구동부(236)를 포함한다.
베이스 패널(232)은 제1 기판 이송 챔버(212)의 내부에 배치되며, 제1 기판 이송 챔버(212)의 내부 공간을 팬 필터 유닛(216)과 인접한 기판 이송 공간(212a)과, 제1 기판 이송 챔버(212)의 바닥 패널(218)과 인접한 공기 배출 공간(212b)으로 분할한다. 기판 이송 공간(212a)은 팬 필터 유닛(216)과 제1 기판 이송 챔버(212)의 내측면들과 베이스 패널(218)에 의해 한정되고, 공기 배출 공간(212b)은 베이스 패널(232)과 제1 기판 이송 챔버(212)의 내측면들과 바닥 패널(218)에 의해 한정된다.
베이스 패널(232)은 사각 형상을 가지며, 베이스 패널(232)의 상부면에는 이동 패널(234)이 슬라이딩 가능하도록 배치되어 있다. 베이스 패널(232)의 상부면에는 이동 패널(234)을 안내하기 위한 한 쌍의 안내부재(238)가 이동 패널(234)의 이동 방향과 평행하게 배치되어 있다. 또한, 베이스 패널(232)의 상부면에는 이동 패널(234)을 이동시키기 위한 구동부(236)가 배치되어 있고, 이동 패널(234)의 상부면 가장자리 부위에는 이동 패널(234)과 구동부(236)를 연결하기 위한 연결 부재(240)가 배치되어 있다.
베이스 패널(232)과 이동 패널(234)에는 팬 필터 유닛(216)으로부터 기판 이송 공간(212a)으로 공급된 청정한 공기를 공기 배출 공간(212b)으로 배출하기 위한 다수의 제1배출공(232a)들과 다수의 제2배출공(234a)들이 형성되어 있다. 제1 기판 이송 챔버(212)의 바닥 패널(218)에는 공기 배출 공간(212b)으로 배출된 청정한 공기를 클린룸(30)으로 배출하기 위한 다수의 개구(218a)가 형성되어 있다.
베이스 패널(232)에는 바닥 패널(218) 상에 지지된 제1 기판 이송 로봇(214)이 통과하는 원형의 관통공(232b)이 형성되어 있고, 이동 패널(234)에는 구동부(236)에 의해 이동하는 이동 패널(234)과 제1 기판 이송 로봇(214) 사이의 간섭을 방지하기 위한 장공(234b)이 형성되어 있다. 원형의 관통공(232b)은 베이스 패널(232)의 중앙 부위에 형성되어 있으며, 장공(234b)은 이동 패널(234)의 중앙 부위에 형성되어 있다.
차압계(250)는 측정된 차압 신호를 제어 유닛(252)으로 전송하며, 제어 유닛(252)은 측정된 차압에 따라 구동부(236)의 동작을 제어한다. 측정된 차압이 기 설정된 차압을 초과하는 경우, 구동부(236)는 이동 패널(234)을 이동시켜 청정한 공기의 유로 면적을 증가시킨다. 즉, 구동부(236)는 이동 패널(234)의 제2배출공(234a)들의 중심축들을 베이스 패널(232)의 제1배출공(232a)들의 중심축들과 일치시킨다. 이에 따라, 청정한 공기의 유로 면적이 증가되고, 제1 기판 이송 챔버(212)의 내부 압력이 감소된다. 이와 반대로, 측정된 차압이 기 설정된 차압보다 낮은 경우, 구동부(236)는 이동 패널(234)을 이동시켜 청정한 공기의 유로 면적을 감소시킨다. 즉, 구동부(236)는 이동 패널(234)의 제2배출공(234a)들의 중심축들을 베이스 패널(232)의 제1배출공(232a)들의 중심축들로부터 이격되도록 이동 패널(234)을 이동시킨다. 이에 따라, 청정한 공기의 유로 면적이 감소되고, 제1 기판 이송 챔버(212)의 내부 압력이 상승된다.
도 7a 및 도 7b는 도 5에 도시된 압력 조절 유닛의 동작을 설명하기 위한 개략적인 단면도이다.
도 7a 및 도 7b를 참조하면, 이동 패널(234)의 제2배출공(234a)들의 중심축들이 베이스 패널(232)의 제1배출공(232a)들의 중심축들과 일치될 때 청정한 공기의 배출 유량은 최대가 되며, 베이스 패널(232)의 제1배출공(232a)들이 이동 패널(234)에 의해 차단될 때 청정한 공기는 공기 이송 공간(212b)으로 배출되지 않는다. 따라서, 기판 이송 모듈(204)의 유지 보수를 위해 제1 기판 이송 챔버(212)의 일측 패널을 개방하는 경우 제1 기판 이송 챔버(212)의 오염을 효과적으로 억제할 수 있다.
도 8은 본 발명의 제3실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 8을 참조하면, 제3실시예에 따른 기판 가공 장치(300)는 다수의 반도체 기판(10)들이 수용된 FOUP(20)를 지지하기 위한 로드 포트(302)와, 반도체 기판(10)을 가공하기 위한 기판 가공 모듈(미도시, 도 1 및 도 2 참조)과, FOUP(20)에 수용된 반도체 기판(10)들을 이송하기 위한 기판 이송 모듈(304)과, 기판 가공 모듈과 기판 이송 모듈(304)의 사이에 배치된 로드록 챔버(미도시, 도 1 및 도 2 참조)를 포함한다.
기판 이송 모듈(304)은 로드 포트(302)와 로드록 챔버 사이에 배치되는 제1 기판 이송 챔버(312)와, 제1 기판 이송 챔버(312)의 내부에 배치되며 로드 포트(302)와 로드록 챔버 사이에서 반도체 기판(10)을 이송하기 위한 제1 기판 이송 로봇(314)과, 제1 기판 이송 챔버(312)의 도어(310)에 밀착된 FOUP(20)의 도어(22)를 개폐하기 위한 도어 오프너(320)와, 제1 기판 이송 챔버(312)의 내부로 청정한 공기를 공급하기 위한 팬 필터 유닛(316)과, 제1 기판 이송 챔버(312)의 내부 압력과 클린룸(30)의 압력 사이의 차압을 측정하기 위한 차압계(350)와, 상기 차압에 따라 제1 기판 이송 챔버(312)의 내부 압력을 조절하기 위한 압력 조절 유닛(330)을 포함한다.
압력 조절 유닛(330)은 베이스 패널(332)과, 이동 패널(334) 및 이동 패널(334)을 이동시키기 위한 구동부(336)를 포함한다.
베이스 패널(332)은 제1 기판 이송 챔버(312)의 내부에 배치되며, 제1 기판 이송 챔버(312)의 내부 공간을 팬 필터 유닛(316)과 인접한 기판 이송 공간(312a)과, 제1 기판 이송 챔버(312)의 바닥 패널(318)과 인접한 공기 배출 공간(312b)으로 분할하고, 제1 기판 이송 로봇(314)을 지지한다. 기판 이송 공간(312a)은 팬 필터 유닛(316)과 제1 기판 이송 챔버(312)의 내측면들과 베이스 패널(332)에 의해 한정되고, 공기 배출 공간(312b)은 베이스 패널(332)과 제1 기판 이송 챔버(312)의 내측면들과 바닥 패널(318)에 의해 한정된다.
베이스 패널(332)은 사각 형상을 가지며, 베이스 패널(332)의 하부면에는 이동 패널(334)이 슬라이딩 가능하도록 배치되어 있다. 베이스 패널(332)의 하부면에는 이동 패널(334)을 안내하기 위한 한 쌍의 안내부재(338)가 이동 패널(334)의 이동 방향과 평행하게 배치되어 있다. 또한, 베이스 패널(332)의 하부면에는 이동 패널(334)을 이동시키기 위한 구동부(336)가 배치되어 있고, 이동 패널(334)의 하부면 가장자리 부위에는 이동 패널(334)과 구동부(336)를 연결하기 위한 연결 부재(340)가 배치되어 있다.
도면 참조 부호 318a, 332a, 334a 및 352는 각각 바닥 패널(318)의 개구, 베이스 패널(332)의 제1배출공, 이동 패널(334)의 제2배출공 및 제어 유닛을 의미한다.
상기와 같은 구성 요소들에 대한 추가적인 상세 설명은 도 1, 도 2, 도 5 및 도 6에 도시된 기판 가공 장치의 실시예들과 관련하여 이미 설명된 것들과 유사하므로 생략하기로 한다.
도 9는 본 발명의 제4실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 9를 참조하면, 제4실시예에 따른 기판 가공 장치(400)는 다수의 반도체 기판(10)들이 수용된 FOUP(20)를 지지하기 위한 로드 포트(402)와, 반도체 기판(10)을 가공하기 위한 기판 가공 모듈(미도시, 도 1 및 도 2 참조)과, FOUP(20)에 수용된 반도체 기판(10)들을 이송하기 위한 기판 이송 모듈(404)과, 기판 가공 모듈과 기판 이송 모듈(404)의 사이에 배치된 로드록 챔버(미도시, 도 1 및 도 2 참조)를 포함한다.
기판 이송 모듈(404)은 로드 포트(402)와 로드록 챔버 사이에 배치되는 제1 기판 이송 챔버(412)와, 제1 기판 이송 챔버(412)의 내부에 배치되며 로드 포트(402)와 로드록 챔버 사이에서 반도체 기판(10)을 이송하기 위한 제1 기판 이송 로봇(414)과, 제1 기판 이송 챔버(412)의 도어(410)에 밀착된 FOUP(20)의 도어(22)를 개폐하기 위한 도어 오프너(420)와, 제1 기판 이송 챔버(412)의 내부로 청정한 공기를 공급하기 위한 팬 필터 유닛(416)과, 제1 기판 이송 챔버(412)의 내부 압력과 클린룸(30)의 압력 사이의 차압을 측정하기 위한 차압계(450)와, 상기 차압에 따라 제1 기판 이송 챔버(412)의 내부 압력을 조절하기 위한 압력 조절 유닛(430)을 포함한다.
제1 기판 이송 챔버(412)의 바닥 패널(418)은 제1 기판 이송 로봇(414)을 지지하며, 바닥 패널(416)에는 팬 필터 유닛(416)으로부터 공급된 청정한 공기를 클린룸(30)으로 배출하기 위한 다수의 제1배출공(418a)들이 형성되어 있다.
압력 조절 유닛(430)은 바닥 패널(418)의 상부면에 슬라이딩 가능하도록 배치되며 다수의 제2배출공(434a)들이 형성된 이동 패널(434)과, 이동 패널(434)을 이동시키기 위한 구동부(436)를 포함한다.
바닥 패널(418)의 상부면에는 이동 패널(434)을 안내하기 위한 한 쌍의 안내부재(438)가 이동 패널(434)의 이동 방향과 평행하게 배치되어 있다. 또한, 바닥 패널(418)의 상부면에는 이동 패널(434)을 이동시키기 위한 구동부(436)가 배치되어 있고, 이동 패널(414)의 상부면 가장자리 부위에는 이동 패널(434)과 구동부(436)를 연결하기 위한 연결 부재(440)가 배치되어 있다.
도면 참조 부호 452는 구동부(436)의 동작을 제어하기 위한 제어 유닛을 의미한다.
상기와 같은 구성 요소들에 대한 추가적인 상세 설명은 도 1, 도 2, 도 5 및 도 6에 도시된 기판 가공 장치의 실시예들과 관련하여 이미 설명된 것들과 유사하므로 생략하기로 한다.
도 10은 본 발명의 제5실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이고, 도 11은 도 10에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이고, 도 12는 도 10에 도시된 기판 가공 장치를 설명하기 위한 개략적인 평면도이다.
도 10을 참조하면, 제5실시예에 따른 기판 가공 장치(500)는 다수의 반도체 기판(10)들이 수용된 FOUP(20)를 지지하기 위한 로드 포트(502)와, 반도체 기판(10)을 가공하기 위한 기판 가공 모듈(506)과, FOUP(20)에 수용된 반도체 기판(10)들을 이송하기 위한 기판 이송 모듈(504)과, 기판 가공 모듈과 기판 이송 모듈(504)의 사이에 배치된 로드록 챔버(508)를 포함한다.
기판 이송 모듈(504)은 로드 포트(502)와 로드록 챔버(508) 사이에 배치되는 제1 기판 이송 챔버(512)와, 제1 기판 이송 챔버(512)의 내부에 배치되며 로드 포트(502)와 로드록 챔버(508) 사이에서 반도체 기판(10)을 이송하기 위한 제1 기판 이송 로봇(514)과, 제1 기판 이송 챔버(512)의 도어(510)에 밀착된 FOUP(20)의 도어(22)를 개폐하기 위한 도어 오프너(520)와, 제1 기판 이송 챔버(512)의 내부로 청정한 공기를 공급하기 위한 팬 필터 유닛(516)과, 제1 기판 이송 챔버(512)의 내부 압력과 클린룸(30)의 압력 사이의 차압을 측정하기 위한 차압계(550)와, 상기 차압에 따라 제1 기판 이송 챔버(512)의 내부 압력을 조절하기 위한 압력 조절 유닛(530)을 포함한다.
압력 조절 유닛(530)은 베이스 패널(532)과, 이동 패널(534) 및 이동 패널(534)을 이동시키기 위한 구동부(536)를 포함한다.
베이스 패널(532)은 제1 기판 이송 챔버(512)의 내부에 배치되며, 제1 기판 이송 챔버(512)의 내부 공간을 팬 필터 유닛(516)과 인접한 기판 이송 공간(512a)과, 제1 기판 이송 챔버(512)의 바닥 패널(518)과 인접한 공기 배출 공간(512b)으로 분할하고, 제1 기판 이송 로봇(514)을 지지한다. 기판 이송 공간(512a)은 팬 필터 유닛(516)과 제1 기판 이송 챔버(512)의 내측면들과 베이스 패널(532)에 의해 한정되고, 공기 배출 공간(512b)은 베이스 패널(532)과 제1 기판 이송 챔버(512)의 내측면들과 바닥 패널(518)에 의해 한정된다.
베이스 패널(532)은 사각 형상을 가지며, 베이스 패널(532)의 상부에는 이동 패널(534)이 이동 가능하도록 배치되어 있다. 베이스 패널(532)의 상부면에는 이동 패널(534)을 안내하기 위한 한 쌍의 안내부재(538)가 이동 패널(534)의 이동 방향과 평행하게 배치되어 있고, 이동 패널(534)의 이동 방향에 대하여 이동 패널(534)의 하부면 전, 후단부에는 이동 패널(534)과 베이스 패널(532) 사이로 청정한 공기가 유입되는 것을 방지하기 위한 한 쌍의 커버(540)가 각각 하방으로 연장되어 있다. 또한, 베이스 패널(532)의 상부면에는 이동 패널(534)을 이동시키기 위한 구동부(536)가 배치되어 있다.
한편, 제1 기판 이송 로봇(514)을 지지하기 위한 브래킷(542, bracket)이 제1 기판 이송 챔버(512)의 내측면으로부터 수평 방향으로 연장되어 있다. 제1 기판 이송 로봇은 도 12에 도시된 바와 같이 수평 방향으로 이동 가능하도록 브래킷 상에 설치된다.
도면 참조 부호 518a, 532a, 534a, 552, 560, 562 및 564는 바닥 패널(518)의 개구, 베이스 패널(532)의 제1배출공, 이동 패널(534)의 제2배출공, 제어 유닛, 공정 챔버, 제2 기판 이송 챔버 및 제2 기판 이송 로봇을 의미한다.
상기와 같은 구성 요소들에 대한 추가적인 상세 설명은 도 1 및 도 2 도시된 기판 가공 장치와 관련하여 이미 설명된 것들과 유사하므로 생략하기로 한다.
상기와 같은 본 발명에 따르면, 기판 이송 챔버의 내부 압력과 클린룸의 압력 사이의 차압은 차압계, 제어 유닛 및 압력 조절 유닛에 의해 기 설정된 차압으로 일정하게 유지될 수 있다. 따라서, 클린룸의 대기 상태가 불안정한 경우 파티클들이 클린룸으로부터 기판 이송 챔버의 내부로 유입되는 것을 방지할 수 있다. 또한, 기판 이송 모듈의 유지 보수를 위해 기판 이송 모듈의 일측 패널을 개방하는 경우 기판 이송 챔버의 오염을 효과적으로 억제할 수 있다. 더 나아가, 반도체 장치의 신뢰성 및 생산성을 향상시킬 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
도 1은 본 발명의 제1실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 2는 도 1에 도시된 기판 가공 장치를 보여주는 개략적인 평면도이다.
도 3은 도 1에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이다.
도 4a 및 도 4b는 도 1에 도시된 압력 조절 유닛의 동작을 설명하기 위한 단면도이다.
도 5는 본 발명의 제2실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 6은 도 5에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이다.
도 7a 및 도 7b는 도 5에 도시된 압력 조절 유닛의 동작을 설명하기 위한 개략적인 단면도이다.
도 8은 본 발명의 제3실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 9는 본 발명의 제4실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 10은 본 발명의 제5실시예에 따른 기판 가공 장치를 설명하기 위한 개략적인 단면도이다.
도 11은 도 10에 도시된 압력 조절 유닛을 설명하기 위한 부분 단면 사시도이다.
도 12는 도 10에 도시된 기판 가공 장치를 설명하기 위한 개략적인 평면도이다.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 반도체 기판 20 : FOUP
30 : 클린룸 100 : 기판 가공 장치
102 : 로드 포트 104 : 기판 이송 모듈
106 : 기판 가공 모듈 108 : 로드록 챔버
112 : 제1 기판 이송 챔버 114 : 제1 기판 이송 로봇
116 : 팬 필터 유닛 118 : 바닥 패널
120 : 도어 오프너 130 : 압력 조절 유닛
132 : 베이스 패널 134 : 이동 패널
136 : 구동부 138 : 안내 부재
150 : 차압계 152 : 제어 유닛
160 : 공정 챔버 162 : 제2 기판 이송 챔버
164 : 제2 기판 이송 로봇

Claims (21)

  1. 다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트;
    상기 용기로부터 이송된 기판을 가공하기 위한 기판 가공 모듈;
    상기 로드 포트와 상기 기판 가공 모듈을 연결하는 기판 이송 챔버와, 상기 기판 이송 챔버의 내부에 배치되며 상기 기판을 이송하기 위한 기판 이송 로봇을 포함하는 기판 이송 모듈;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버로 청정한 공기를 제공하기 위한 팬 필터 유닛;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버의 내부 압력과 외부 압력 사이의 차압(differential pressure)을 측정하기 위한 차압계; 및
    상기 기판 이송 챔버의 내부 압력을 상기 외부 압력보다 높은 상태로 유지시키기 위한 압력 조절 수단을 포함하되,
    상기 압력 조절 수단은,
    상기 기판 이송 챔버를 상기 청정한 공기가 공급되는 상부의 기판 이송 공간과 상기 기판 이송 공간으로 공급된 청정한 공기를 배출하기 위한 하부의 공기 배출 공간으로 분할하며, 상기 기판 이송 공간으로 공급된 청정한 공기를 상기 공기 배출 공간으로 배출하기 위한 다수의 제1배출공이 형성된 베이스 패널;
    상기 베이스 패널과 평행하게 배치되며, 상기 기판 이송 공간으로 공급된 청정한 공기를 상기 공기 배출 공간으로 배출하기 위한 다수의 제2배출공이 형성된 이동 패널; 및
    상기 이동 패널을 상기 베이스 패널과 평행한 방향으로 이동시켜 상기 청정한 공기의 배출 유량을 조절하기 위한 구동부를 포함하는 것을 특징으로 하는 기판 가공 장치.
  2. 삭제
  3. 제1항에 있어서, 상기 베이스 패널 상에 배치되어 상기 이동 패널을 지지하고, 상기 이동 패널의 이동을 안내하기 위한 안내 부재를 더 포함하는 것을 특징으로 하는 기판 가공 장치.
  4. 제1항에 있어서, 상기 차압이 기 설정된 범위를 초과하는 경우 상기 제2배출공들의 중심축들이 상기 제1배출공들의 중심축들로부터 이격되도록 상기 이동 패널을 이동시키고, 상기 차압이 기 설정된 범위보다 낮은 경우 상기 제2배출공들의 중심축들이 상기 제1배출공들의 중심축들과 일치하도록 상기 이동 패널을 이동시키는 제어 유닛을 더 포함하는 것을 특징으로 하는 기판 가공 장치.
  5. 제1항에 있어서, 상기 기판 이송 챔버의 바닥 패널에는 상기 이동 패널 및 베이스 패널을 통해 상기 공기 배출 공간으로 배출된 청정한 공기를 배출하기 위한 개구가 형성되어 있는 것을 특징으로 하는 기판 가공 장치.
  6. 제1항에 있어서, 상기 기판 이송 로봇은 상기 기판 이송 챔버의 바닥 패널 상에 지지되며, 상기 이동 패널 및 베이스 패널을 통해 상방으로 연장되는 것을 특징으로 하는 기판 가공 장치.
  7. 제6항에 있어서, 상기 이동 패널에는 상기 구동부에 의해 이동하는 이동 패널과 상기 기판 이송 로봇 사이의 간섭을 방지하기 위한 장공(longitudinal hole)이 형성되어 있는 것을 특징으로 하는 기판 가공 장치.
  8. 제1항에 있어서, 상기 이동 패널은 상기 베이스 패널의 상부면 상에서 슬라이딩 가능하게 배치되는 것을 특징으로 하는 기판 가공 장치.
  9. 제1항에 있어서, 상기 이동 패널은 상기 베이스 패널의 하부면 상에서 슬라이딩 가능하게 배치되는 것을 특징으로 하는 기판 가공 장치.
  10. 제9항에 있어서, 상기 기판 이송 로봇은 상기 베이스 패널의 상부면에 배치되어 있는 것을 특징으로 하는 기판 가공 장치.
  11. 삭제
  12. 제1항에 있어서, 상기 기판 이송 챔버의 내측면으로부터 수평 방향으로 연장되고, 상기 기판 이송 로봇을 지지하기 위한 브래킷(bracket)을 더 포함하는 것을 특징으로 하는 기판 가공 장치.
  13. 제1항에 있어서, 상기 기판 가공 모듈과 상기 기판 이송 모듈 사이에 배치된 로드록(load lock) 챔버를 더 포함하는 것을 특징으로 하는 기판 가공 장치.
  14. 제1항에 있어서, 상기 용기는 FOUP(Front Opening Unified Pod)인 것을 특징으로 하는 기판 가공 장치.
  15. 제14항에 있어서, 상기 FOUP의 도어를 개폐하기 위한 도어 오프너를 더 포함하는 것을 특징으로 하는 기판 가공 장치.
  16. 다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트;
    상기 용기로부터 이송된 기판을 가공하기 위한 기판 가공 모듈;
    상기 로드 포트와 상기 기판 가공 모듈을 연결하는 기판 이송 챔버와, 상기 기판 이송 챔버의 내부에 배치되며 상기 기판을 이송하기 위한 기판 이송 로봇을 포함하는 기판 이송 모듈;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버로 청정한 공기를 제공하기 위한 팬 필터 유닛;
    상기 기판 이송 챔버를 상기 청정한 공기가 공급되는 상부의 기판 이송 공간과 상기 기판 이송 공간으로 공급된 청정한 공기를 배출하기 위한 하부의 공기 배출 공간으로 분할하며, 상기 기판 이송 공간으로 공급된 청정한 공기를 상기 공기 배출 공간으로 배출하기 위한 다수의 제1배출공이 형성된 베이스 패널;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 공간의 내부 압력과 상기 기판 이송 챔버의 외부 압력 사이의 차압(differential pressure)을 측정하기 위한 차압계;
    상기 베이스 패널과 평행하게 이동 가능하도록 배치되며, 상기 기판 이송 공간으로 공급된 청정한 공기를 상기 공기 배출 공간으로 배출하기 위한 다수의 제2배출공이 형성된 이동 패널; 및
    상기 기판 이송 공간의 내부 압력이 상기 기판 이송 챔버의 외부 압력보다 높은 상태를 유지하기 위해 상기 차압에 따라 상기 이동 패널의 이동을 조절하여 상기 청정한 공기의 배출 유량을 조절하기 위한 제어 유닛을 포함하는 것을 특징으로 하는 기판 가공 장치.
  17. 제16항에 있어서, 기판 이송 챔버의 바닥 패널에는 상기 공기 배출 공간으로 배출된 청정한 공기를 배출하기 위한 개구가 형성되어 있는 것을 특징으로 하는 기판 가공 장치.
  18. 제16항에 있어서, 상기 이동 패널은 상기 베이스 패널이 상부면 또는 하부면에 슬라이딩 가능하도록 배치되며,
    상기 기판 이송 로봇은 상기 기판 이송 챔버의 바닥 패널 상에 지지되고, 상기 이동 패널 및 상기 베이스 패널을 통해 상방으로 연장되는 것을 특징으로 하는 기판 가공 장치.
  19. 제16항에 있어서, 상기 기판 이송 챔버의 내측면으로부터 수평 방향으로 연장되고, 상기 기판 이송 로봇을 지지하기 위한 브래킷을 더 포함하는 것을 특징으로 하는 기판 이송 장치.
  20. 제16항에 있어서, 상기 이동 패널은 상기 베이스 패널의 하부면에 슬라이딩 가능하도록 배치되고,
    상기 기판 이송 로봇은 상기 베이스 패널 상에 지지되는 것을 특징으로 하는 기판 가공 장치.
  21. 다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트;
    상기 용기로부터 이송된 기판을 가공하기 위한 기판 가공 모듈;
    상기 로드 포트와 상기 기판 가공 모듈을 연결하는 기판 이송 챔버와, 상기 기판 이송 챔버의 내부에 배치되며 상기 기판을 이송하기 위한 기판 이송 로봇을 포함하는 기판 이송 모듈;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버로 청정한 공기를 제공하기 위한 팬 필터 유닛;
    상기 기판 이송 챔버와 연결되며, 상기 기판 이송 챔버의 내부 압력과 외부 압력 사이의 차압(differential pressure)을 측정하기 위한 차압계; 및
    상기 기판 이송 챔버의 내부 압력을 상기 외부 압력보다 높은 상태로 유지시키기 위한 압력 조절 수단을 포함하되,
    상기 기판 이송 챔버의 바닥 패널에는 상기 청정한 공기를 배출하기 위한 다수의 제1배출공들이 형성되어 있고,
    상기 압력 조절 수단은,
    상기 기판 이송 챔버의 바닥 패널의 상부면 또는 하부면에 슬라이딩 가능하도록 배치되며, 상기 청정한 공기를 배출하기 위한 다수의 제2배출공들이 형성된 이동 패널; 및
    상기 이동 패널을 이동시켜 상기 청정한 공기의 배출 유량을 조절하기 위한 구동부를 포함하는 것을 특징으로 하는 기판 가공 장치.
KR10-2003-0004848A 2003-01-24 2003-01-24 기판 가공 장치 KR100483428B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2003-0004848A KR100483428B1 (ko) 2003-01-24 2003-01-24 기판 가공 장치
US10/704,667 US20040144316A1 (en) 2003-01-24 2003-11-12 Apparatus for processing a substrate
JP2004010378A JP2004228576A (ja) 2003-01-24 2004-01-19 基板加工装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0004848A KR100483428B1 (ko) 2003-01-24 2003-01-24 기판 가공 장치

Publications (2)

Publication Number Publication Date
KR20040067634A KR20040067634A (ko) 2004-07-30
KR100483428B1 true KR100483428B1 (ko) 2005-04-14

Family

ID=32733108

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0004848A KR100483428B1 (ko) 2003-01-24 2003-01-24 기판 가공 장치

Country Status (3)

Country Link
US (1) US20040144316A1 (ko)
JP (1) JP2004228576A (ko)
KR (1) KR100483428B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190006394A (ko) * 2017-07-10 2019-01-18 우범제 이에프이엠 시스템

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005017164A1 (de) * 2005-04-14 2006-10-19 Leica Microsystems Semiconductor Gmbh Einrichtung zum Handhaben scheibenförmiger Objekte
JP5030410B2 (ja) * 2005-09-28 2012-09-19 株式会社日立ハイテクノロジーズ 真空処理装置
JP2007220773A (ja) * 2006-02-15 2007-08-30 Hitachi High-Tech Control Systems Corp ミニエンバイロメント装置及びそれを用いたクリーンルーム設備
JP4606388B2 (ja) 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
KR20100031681A (ko) 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP4901650B2 (ja) * 2007-08-31 2012-03-21 東京エレクトロン株式会社 液処理装置、液処理方法および記憶媒体
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8757026B2 (en) 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
JP4525789B2 (ja) * 2008-04-17 2010-08-18 株式会社デンソー 作業設備および作業設備における局所クリーンルーム
KR101541538B1 (ko) * 2008-12-19 2015-08-04 세메스 주식회사 웨이퍼 이송 유닛 및 이를 포함하는 프로브 스테이션
JP5465979B2 (ja) * 2009-10-26 2014-04-09 東京エレクトロン株式会社 半導体製造装置
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
JP5673480B2 (ja) * 2011-10-14 2015-02-18 東京エレクトロン株式会社 基板処理装置
JP5603314B2 (ja) * 2011-12-01 2014-10-08 東京エレクトロン株式会社 搬送装置及び基板処理システム
CN102560428A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 化学气相沉积机台
JP6024980B2 (ja) * 2012-10-31 2016-11-16 Tdk株式会社 ロードポートユニット及びefemシステム
KR101442222B1 (ko) * 2013-04-05 2014-09-24 주식회사 아바코 열처리 시스템과 열처리 방법 및 그를 이용한 cigs 태양전지의 제조방법
CN103753605B (zh) * 2014-02-21 2015-09-16 江西珍视明药业有限公司 一种五轴三自由度机械手臂内包材传递***
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
CN107284856A (zh) * 2016-04-11 2017-10-24 深圳市辰中科技有限公司 用于精密生产的环境保持***及方法
CN107346757B (zh) * 2016-05-04 2020-03-31 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
JP7031131B2 (ja) * 2017-03-22 2022-03-08 Tdk株式会社 Efem及びefemのガス置換方法
TWD188696S (zh) * 2017-06-09 2018-02-21 香岳實業股份有限公司 通風道型加熱模組
TWD188699S (zh) * 2017-06-09 2018-02-21 香岳實業股份有限公司 垂直式加熱模組
JP6649995B2 (ja) * 2018-06-22 2020-02-19 川崎重工業株式会社 基板搬送ロボット
JP6973340B2 (ja) * 2018-09-20 2021-11-24 信越半導体株式会社 給排気制御装置、ウェーハ処理装置、及びウェーハ処理方法
US20200207559A1 (en) * 2018-12-28 2020-07-02 Int Tech Co., Ltd. Dust-free system and method of manufacturing panel
KR102212996B1 (ko) * 2019-01-02 2021-02-08 피에스케이홀딩스 (주) 기판 처리 장치 및 기판 처리 방법
CN111954402B (zh) * 2019-05-17 2021-11-02 湖北欧安电气股份有限公司 一种具有防爆功能的电控柜
KR102366179B1 (ko) * 2019-08-23 2022-02-22 세메스 주식회사 반송 장치 및 이를 가지는 기판 처리 장치
US11846572B2 (en) * 2020-04-09 2023-12-19 Bedgear, Llc CFM measuring device and display for bedding surfaces and methods of using the same
JP2022025427A (ja) * 2020-07-29 2022-02-10 株式会社Screenホールディングス 基板処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2913206B2 (ja) * 1990-09-19 1999-06-28 日立機電工業株式会社 ウエーハ保管庫
JPH09153533A (ja) * 1995-12-01 1997-06-10 Mitsubishi Electric Corp 半導体ウエハ保管システムおよびそのシステムを使用した半導体装置の製造方式
TW317644B (ko) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
JP3774277B2 (ja) * 1996-08-29 2006-05-10 東京エレクトロン株式会社 被処理基板の搬送方法及び処理システム
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JP2000082731A (ja) * 1998-09-04 2000-03-21 Hitachi Plant Eng & Constr Co Ltd クリーンルーム設備
US6165268A (en) * 1998-12-16 2000-12-26 Pri Automation, Inc. Wafer carrier adapter and method for use thereof
KR100297724B1 (ko) * 1999-03-04 2001-09-26 윤종용 감광액 도포 및 현상시스템과 베이크 유니트
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
JP2002147811A (ja) * 2000-11-08 2002-05-22 Sharp Corp クリーンルーム
JP2003017543A (ja) * 2001-06-28 2003-01-17 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法、半導体装置の製造方法および搬送装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190006394A (ko) * 2017-07-10 2019-01-18 우범제 이에프이엠 시스템
KR101977384B1 (ko) * 2017-07-10 2019-05-10 우범제 이에프이엠 시스템

Also Published As

Publication number Publication date
US20040144316A1 (en) 2004-07-29
JP2004228576A (ja) 2004-08-12
KR20040067634A (ko) 2004-07-30

Similar Documents

Publication Publication Date Title
KR100483428B1 (ko) 기판 가공 장치
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
TWI757936B (zh) 用於處理基板的設備、系統和方法
US8382088B2 (en) Substrate processing apparatus
KR100583730B1 (ko) 기판 이송 시스템 및 상기 시스템의 프레임 내 압력을조절하는 방법
KR100706250B1 (ko) 반도체 소자 제조 장치 및 방법
US10347510B2 (en) Substrate transfer chamber, substrate processing system, and method for replacing gas in substrate transfer chamber
US10748795B2 (en) Substrate processing method and substrate processing apparatus
KR102531097B1 (ko) 측면 저장 포드들, 전자 디바이스 프로세싱 시스템들, 및 이를 동작시키기 위한 방법들
KR20210068576A (ko) 전면-덕트형 장비 전단부 모듈들, 측면 저장 포드들, 및 이들을 동작시키는 방법들
WO2020086490A1 (en) Side storage pods, equipment front end modules, and methods for operating the same
US7351291B2 (en) Semiconductor processing system
EP3796368B1 (en) Transport device having local purge function
CN111403312B (zh) 基板处理设备和方法
KR19980024442A (ko) 피처리체의 반송을 위한 중계장치
WO2019177046A1 (ja) Efem
TWI819453B (zh) 容器中沖淨流速的遠程優化
US11862506B2 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
US11527426B2 (en) Substrate processing device
JP2004119627A (ja) 半導体製造装置
KR20050015786A (ko) 기판 이송 모듈의 상태 모니터링 장치
US11476140B2 (en) Substrate accommodating unit and maintenance method for vacuum transfer unit in substrate transfer apparatus
US20230317434A1 (en) Carrier for end effector, transportation apparatus including the same and the substrate processing apparatus
KR20080006035A (ko) 클러스터 툴
KR20230063970A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090316

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee