KR100442023B1 - 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성 - Google Patents

좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성 Download PDF

Info

Publication number
KR100442023B1
KR100442023B1 KR1019960032822A KR19960032822A KR100442023B1 KR 100442023 B1 KR100442023 B1 KR 100442023B1 KR 1019960032822 A KR1019960032822 A KR 1019960032822A KR 19960032822 A KR19960032822 A KR 19960032822A KR 100442023 B1 KR100442023 B1 KR 100442023B1
Authority
KR
South Korea
Prior art keywords
layer
refractory metal
substrate
metal
aluminum
Prior art date
Application number
KR1019960032822A
Other languages
English (en)
Other versions
KR970072101A (ko
Inventor
추 쳉
포스터 존
야오 체-용
눌맨 자임
첸 후센
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR970072101A publication Critical patent/KR970072101A/ko
Application granted granted Critical
Publication of KR100442023B1 publication Critical patent/KR100442023B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

알루미늄 스퍼터 공정은 특히 절연층을 관통하는 높은 종횡비의 통로(via)와 접촉을 형성하는데 유익하고 전기이동이 잘 일어나지 않는 상호연결선을 형성하는데에도 유용하다. 라이너층 또는 방지층은 유도 카풀된 플라즈마로 처리된 것과 같은 고밀도 플라즈마(HDP)의 물리적 증기증착(PVD, 스퍼터라고도 불리움) 공정으로 먼저 증착된다. 접촉 기저부가 실리콘 성분에 연결되면 라이너층의 첫 번째 부대층은 Ti층으로 이는 실리콘 기판에 규화된다. 두 번째 부대층은 TiN으로 구성되고, 이는 원하는 않는 구성성분이 밑에 있는 실리콘 기판으로 이동하는 것을 방지하는 층으로 작용할 뿐만 아니라 HDP 공정과 바이어스된 웨이퍼로 증착시 고밀도의 평탄한 결정 구조를 형성하게 한다. 세 번째 부대층은 Ti으로 구성되며, TiN에서 Ti로 점차 변화되는 것이 바람직하다. 알루미늄층은 HDP가 아닌 표준공정으로 라이너층 위에 증착된다. 라이너층은 좁은 플러그 구멍을 충전하는 동안에 알루미늄 증착의 최고온 과정을 비교적 저온인 320-500℃에서 수행하게 하고, 350-420℃가 바람직하다. 실리콘 기판에 확산되는 것을 효과적으로 방지하는 층을 형성하기 위해서 TiN층의 안닐이 필요없다. 본 발명의 공정으로 형성된 수평 상호연결선은 전기이동을 하지 않는다.

Description

좁은 구멍의 충전 및 결정상으로 배향된 라이너층을 이용한 금속 상호연결부 형성
관련된 출원
이 출원은 1995년 8월 7일에 출원된 일련번호 08/511,825의 연속되는 일부분이다.
발명의 영역
본 발명은 일반적으로 반도체 장치의 제조에 관한 것이다. 특히, 본 발명은 반도체 집적회로의 유전층을 관통하는 콘택, 비아(via), 또는 다른 구멍의 금속 충전, 및 이러한 콘택 및 비아를 상호 연결하는 금속선의 증착에 관한 것이다.
발명의 배경
최근 집적회로(IC)에서는 층간 삽입되는 유전층에 에칭된 구멍을 따라 연장되는 수직 상호연결부가 점점 더 많이 요구되고 있다. IC의 측방 치수의 수축은 높은 종횡비를 가진 수직 상호연결부가 요구된다. 즉, 이 상호연결부의 형태는 폭이 좁고 깊이가 깊다. 또한, 요구되는 복잡한 전기경로를 형성하기 위해서는 이들 콘택과 비아를 평행하게 상호연결함으로써 서로 배선할 필요가 있다. 전형적인 조립 과정은 반도체층 또는 패턴화된 금속수평적 상호연결층 위에 유전층을 증착하는 단계, 반도체 또는 수평적 상호연결층 위에 놓인 유전층의 상부로부터 하부로 연장되는 플러그 홀 또는 다른 구조를 가진 유전층을 포토리소그래피 방식으로 형성하는 단계, 이후에 유전층 위에 수평적 상호연결부를 위한 재료를 동시적으로 증착하기 위해 유전층 상에 그리고 플러그 홀에 도전 재료를 증착하는 단계를 포함한다.
메모리, 로직, 또는 다른 장치이든 간에 통상의 집적 회로는 상이한 도전형 도핑 또는 도핑 레벨의 다수 영역이 형성된 반도체 실리콘 기판을 포함하며, 이들도전형 및 도핑 레벨은 엄격히 제어될 필요가 있다. 이미 설명한 바와 같이 한 개 이상의 유전층들이 실리콘 위에 증착되고, 각각의 층을 관통하여 홀이 에칭되고 충전되어, 하부에 놓인 층이 실리콘 이든지 또는 이미 증착된 유전층의 상부 상에 형성된 배선 패턴 이든지 간에 하부에 놓인 층에 수직 상호연결부가 형성된다. 보통 상부 금속 배선층은 그 밑에 있는 수직적 상호연결부와 동시에 증착된다. 수직적 상호연결부의 하부가 실리콘에 연결되면, 실리콘과 접하게 되어 이를 콘택이라 일컫고, 과도한 콘택 저항을 피하기 위해서는 금속과 실리콘 사이에 적절하고 안정한 오믹 콘택이 형성되어야 한다. 상호연결부의 하부가 여러 층의 금속 구조로 된 금속과 접하면, 이를 비아(via)라고 지칭한다. 콘택 또는 비아는 플러그 또는 수직적 상호연결부를 지칭할 수 있으나, 상호연결부가 다르게 표기되지 않는다면 이는 수평적 상호연결부로 가정될 수 있다. 비아와 콘택은 표면적을 최소화하기 위해 통상적으로 원형이거나 정방형에 가깝다. 하지만 가끔 좁고 긴 치수를 갖는 트렌치 형상으로 형성될 수도 있고, 이들 트렌치는 금속으로 충전되어야 한다.
충전될 홀이 높은 종횡비를 가지면 심각한 문제가 일어난다. 종횡비는 유전층 또는 다른 형태의 층에 형성된 플러그의 깊이 대 폭의 비율이다. 트렌치에 대해 종횡비를 결정하는 폭은 가장 작은 측방 치수이다. 집적 회로 상의 소자의 밀도가 증가함에 따라, 콘택 폭, 비아, 트렌치 및 다른 구멍은 감소되는 반면 이들의 깊이는 거의 감소되지 않는다. 이는 집적회로에 적층된 층들을 전기적으로 절연시키기 위해 최소 유전체 두께가 요구되기 때문이다. 따라서, 종횡비가 증가해 왔다. 종래기술은 일반적으로 종횡비가 0.5:1 또는 그 이하의 콘택, 비아 및 트렌치를 충전하는데 제한된다. 현대기술은 약 2:1까지의 종횡비를 사용한다. 보다 신 기술은 5:1이거나 그 이상의 종횡비에 적용할 수 있어야 한다.
예전의 경우와 같이 플러그 또는 트렌치에 충전된 도전 재료의 대부분은 금속이고, 더 나아가 금속이 실리콘에 접촉할 경우와 같이 이 금속이 하부층과 반응하거나 층 내부로 유해하게 확산될 수 있으므로, 금속을 증착하기 이전에 구멍에 확산 배리어층을 도포해야 하며, 그 후에 더 두꺼운 벌크 금속이 배리어층 상에 충전되어야 한다. 티타늄 질화물(TiN)은 도전율이 적절하고 몇몇 추가 공정으로 실리콘과 알루미늄 모두와 양립할 수 있기 때문에 현재 배리어층으로 가장 흔히 사용된다.
홀 충전 프로세스에서는 거의 필연적으로 유전층 및 구멍 상의 금속층의 두께를 측방으로 조절하여 증착된다. 결과적으로 후속하는 프로세스가 비교적 평탄한 표면 상에서 수행되도록 홀 충전 프로세스의 일부로서 금속층을 평탄화시킬 필요가 있다. 포토리소그래피의 경우 굴곡이 있는 기판은 돌출된 패턴의 초점을 흐리게 할 수 있기 때문에 특히 평탄 표면이 요구된다. 또한, 평탄한 표면은 큰 수직적 단차를 해결하는데 있어 분리되는 경향이 있는 박막 상호연결 라인 아래에 놓이는 것이 바람직하다.
물리적 기상 증착(PVD)은 집적회로 제조에 있어 금속으로 구멍을 충전하고 수평적 상호연결부를 위해 평탄한 금속을 증착하기 위해 공지된 방법이다. 현대식 PVD 시스템의 한 실례는 PVD 시스템으로 캘리포니아주 산타클라라시의Applied Material사로부터 구입할 수 있다. 표준 PVD 프로세스에서, 증착이 요구되는 금속 조성을 가진 금속 타겟을 플라즈마 반응챔버 안에 배치하되 금속이 증착되어야 하는 웨이퍼의 반대편에 비교적 가깝게 배치한다. 감압된 아르곤으로 타겟과 웨이퍼 사이에 있는 공간을 채운다. 웨이퍼에 대해 금속 타겟이 충분하게 음전기를 띠게 DC 바이어스되어 아르곤 기체를 방전시켜 아르곤 플라즈마를 형성한다. 이 때에 플라즈마 안에 발생한 아르곤 양이온은 음전기로 바이어스된 타겟으로 강력하게 끌어당겨져 높은 에너지로 타겟에 충격을 주어 타겟 재료의 원자들이나 원자 클러스터들을 타겟으로부터 이탈시켜 추방시킨다. 즉, 타겟으로부터 스퍼터된다. 적어도 스퍼터된 원자들 중에 몇몇은 유도탄 발사 궤도를 따라 웨이퍼에 증착된다. 스퍼터되는 금속들의 예로는 알루미늄과 티타늄이 있다.
티타늄은 거의 순수한 티타늄 타겟으로부터 스퍼터되어 타겟과 웨이퍼 사이에 있는 공간을 채우는 질소 기체(또는 플라즈마)와 반응하기 때문에 반응 스퍼터라고 지칭된 프로세스에서 TiN과 같은 화합물을 스퍼터 증착하는 데에도 PVD를 사용할 수도 있다. 티타늄 원자는 비교적 낮은 압력하에서 일반적으로 웨이퍼에 증착된 후에 질소와 표면 반응하여 TiN이 웨이퍼에 스퍼터 증착하게 된다. 이 과정은 Solid State Technology, January, 1993, pp. 73-76,78,79,82의 "ULSI를 위한 배리어 금속 증착 및 제조"에서 Parmanik 등에 의해 기술되었다.
플러그 구멍에 알루미늄의 스퍼터 증착으로 다시 화제를 바꾸면 일부 표면이 결정성 실리콘 또는 폴리실리콘 표면을 가진 것으로 여기에서 가정되는 기판(100)이 제 1 도에 도시된다. 유전층(102)이 기판(100) 위에 놓여 필드 산화물이나 층간유전체를 형성한다. 현대식 실리콘 공정에서, 보통 열성장이나 플라즈마 강화 화학적 기상 증착(PECVD)을 사용하여 일반적으로 SiO2로 된 유전층(102)이 형성되나, 실리케이트 글라스나 유기 유전체와 같은 다른 절연체들도 사용될 수 있다.
콘택 홀(104)은 유전층(102) 상에 형성된 패턴화된 상부 금속-상호연결 레벨에 대해 유전층(102)을 통한 전기적 액서스를 제공하고, MOS 트랜지스터의 소스 또는 드레인과 같은 실리콘 기판의 특정화된 부분과 접촉할 수 있도록 포토리소그래피 방식으로 형성되며 유전층(102)을 관통하여 실리콘 기판(100) 아래로 연장되도록 에칭된다. 선택적으로 기판(100)은 하부 금속상호연결 레벨, 및 홀(104)일 수 있고, 이후 비아홀은 하부 금속 레벨의 유전층(110) 상부에 형성된 금속 라인 상부에 놓이도록 위치되어, 상부 금속 레벨에 또다른 금속라인과 전기적으로 접촉된다. 또다른 경우로, 트렌치 구멍이 유전층(102)을 관통하여 형성되며 도시된 것처럼 비교적 좁은 폭을 갖으나 비교적 긴 길이로 연장되어 높은 종횡비를 형성한다.
제 2 도는 비교적 높은 종횡비를 가진 콘택 홀(104)에 대한 표준 저온 알루미늄 스퍼터의 결과를 보여준다. PVD 공정은 유전층(102)의 평평한 부분 위에 평면형 알루미늄층(106)을 형성한다. 그러나, 표준 PVD는 알루미늄 원자들을 등방성 탄도 패턴으로 생성하고, 저온 공정에서는 스퍼터된 알루미늄 원자들이 웨이퍼에 부딪칠 때 비교적 밀접하게 장착된다. 그 결과로 알루미늄층(106)은 콘택 홀(104)의 상부 모서리(110) 부근에 오버행(108)을 형성한다. 일단 오버행이 형성되면 상기 오버행(108)은 콘택 홀(104)의 하부(112)를 차폐하여 직접적인 스퍼터 증착으로 홀을 충전하는 것을 방지한다. 콘택 홀(104)의 측면벽(114)의 하부는 등방성 패턴의 증착에 유리하게 배향되어 있지 않다.
표준 PVD 공정이 계속되면, 제 3도의 단면에서 도시된 바와 같이, 오버행(108)이 합체되어 콘택 홀(104) 위에 브리지(112)를 형성하여 콘택 홀(104) 내에 증착된 알루미늄에 보이드(114)를 만든다. 이 효과가 제 3도에서 확대되어 있으나, 이 안에 포함된 보이드는 콘택 도전율을 감소시키고 신뢰성 문제를 초래한다. 또한, 함몰부(116)는 콘택상에 스퍼터 증착된 알루미늄층(106) 안에 형성되는 경향이 있음을 제 3도에서 보여준다. 보이드(114)형성은 피했더라도 증착된 금속의 평탄함은 여전히 만족치 못하다.
종횡비가 1:1 이거나 이보다 다소 높은 경우에는 보이드 형성 및 평탄화 문제점은 리플로우(reflow) 처리로 해결될 수 있다. 알루미늄은 480℃ 근방이나 그 이상의 온도에서 이동하거나 흐르는 경향이 있고, 제 4도에 도시된 바와 같이 표면 에너지의 최소화로 인해 이동하는 알루미늄이 평탄하지 않은 부분을 채워 콘택을 완전히 충전시키고 알루미늄층(106)을 평탄화시키지만 나머지 함몰부(118)는 남아 있을 것이다. 리플로우는 기판(100)의 온도를 480℃ 또는 이상으로 유지함으로써 스퍼터 증착시 계속하여 이행될 수 있다. 대신에 고온 리플로우를 저온 PVD 공정 후에 수행할 수 있다.
이후에 보다 자세하게 기술될 저온-고온 공정으로 Al의 전형적인 구멍 충전 PVD 증착은, 단시간 높은 전력, 및 저온에서 초기에 시드층 증착을 수반한다. 다음 나머지층은 장시간 낮은 전력 및 고온에서 증착된다. 두번째 단계에 사용되는 온도를 리플로우 온도라고도 한다. 모든 알루미늄을 저온에서 증착하고나서 알루미늄을 동시에 더 이상 증착하지 않으면서도 고온에서 증착된 층을 리플로우하는 것이 가능하나 이 공정은 시간이 오래 걸리고 층간 구조에 보이드와 같은 불연속 부분을 생기게 할 수도 있다.
그러나, 리플로우는 완전한 해결책이 아니다. 첫째, 리플로우 온도는 다소 높은 경향이 있고, 이 과정은 복잡한 칩에 대한 열적 예산을 소모시킨다. 실제로 요구되는 리플로우 온도 때문에 미리 형성되는 층에 포함된 소정의 저온 재료를 사용할 수 없다. 불소화된 실리콘 산화물 또는 폴리이미드 또는 파라렌과 같은 유기 중합체와 같은 최신 유전체는 최대 처리 온도가 400℃ 이하이어야 한다. 둘째, 플러그가 너무 좁은 경우에는 예를 들어 종횡비가 2:1이나 그 이상이면 리플로우로 보이드를 없앨 수 없어 제시된 구조는 리플로우 사용의 한계에 해당한다.
리플로우는 높은 종횡비를 갖는 플러그를 충전할 수 없으며, 이는 기하학적 구조가 집중적인 차폐 이전에 플러그 홀 속에 재료가 증착되는 것을 충분히 방지하기 때문이다. 또한, 고온 리플로우 처리는 소량의 알루미늄을 반구형 알맹이로 결합하는 작용을 한다. 플러그 홀이 실제로 막히기 전에 이 알맹이들은 표면을 균일하게 덮는 평평한 막으로 들어갈 정도의 크기로 성장하지 않는다. 이러한 문제점은 알루미늄이 이산화실리콘에 쉽게 접착(wet)되지 않기 때문에 야기된다. 접착(wetting)은 알루미늄의 자유 표면의 표면 장력과 알루미늄과 기판 사이의 표면 장력의 상대적 크기와 관련하여 설명될 수 있다. 알루미늄은 Ti에 쉽게 접착되고, TiN에는 적당히 접착되고, SiO2에는 거의 접착되지 않는다. SiO2위에 알루미늄의 비접착(dewetting)은 250℃ 이상에서 이루어지며, 정확한 온도는 다른 변수들 중에서 초기 조건을 따른다. 즉, 알루미늄은 알루미늄이 플로우하는 온도보다 낮은 온도에서는 비접착되며, 웨팅 및 플로잉 방법의 분리는 추가 단계가 취해지지 않는한 알루미늄의 용이한 리플로우를 방해한다.
좁은 플러그의 충전 문제를 해결하려는 의도에서 개발된 프로세스가 일관성(coherent) 증착이다. 타겟으로부터 스퍼터된 금속입자들, 즉, 알루미늄은 상당히 높은 종횡비의 수직적인 구멍들을 가진 시준계(collimeter)를 통과한다. 결과적으로 시준계의 주 평면과 기판의 주 평면에 거의 수직으로 움직이는 입자들만이 시준계를 자유롭게 통과한다. 다시 말해서 스퍼터되던 입자들의 등방성 탄도(ballistic) 패턴이 거의 수직 패턴으로 변화되어, 입자들은 쉐도우 오버행을 형성하지 않고 구멍의 깊숙한 부분까지 침투할 수 있다. 그러나, 이 프로세스는 나머지 입자들이 시준계 구멍들의 측면에, 그리고 구멍을 형성하는 시준계 평면의 평면형 매트릭스 부분에 부착되어 스퍼터된 알루미늄이 상당히 손실되므로 비효율적이다. 장거리 동정(long throw)이라고 불리우는 관련 공정은 타겟과 기판 사이의 간격을 넓혀 PVD의 탄도 궤도가 좀더 수직으로 된다. 하지만 장거리 동정 PVD에서는 수직으로 스퍼터되는 입자들만이 사용되기 때문에 이에 따라 스퍼터율이 감소된다.
일관성 증착과 리플로우를 병합한 비교 공정에서는, 초기의 일관성(방향성)알루미늄 증착이 상대적으로 낮은 기판 온도에서, 예를 들어 150℃에서 수행되어 플러그 홀 내에 시드층을 형성한다. 이 온도에서는 리플로우 가능성이 많지 않고 원자들이 처음에 부딪치는 플러그 하부(112)(제 2도 참조)에 장착된다. 시준으로 인해, 오버행(108)은 형성되지 않는다. 시준된 광선이 측면벽(114)에 증착하기에는 이 구조가 유리하지 않으나 플러그 하부(112)로부터의 반사 스퍼터를 고려하면 얇고 상당히 균일한 층을 형성하기에는 충분하다.
시준된 저온 PVD는 비경제적인 저증착율로 인해 플러그 홀을 충전하기에 충분히 오래 계속되지는 못한다. 대신에 안정한 시드층이 플러그 홀 내에 증착된 후에, 웨이퍼는 일관성 PVD 챔버로부터 표준 등방성(즉, 비-일관성) PVD 챔버로 옮겨져, 표준 PVD 공정은 증착된 알루미늄을 리플로우시키기에 충분한 온도로 기판 온도의 램핑 업을 이용하여 빠른 속도로 알루미늄을 등방성 증착한다. 새로 증착된 알루미늄은 이미 증착된 시드층에 접착되기 때문에 증착된 알루미늄은 보이드를 형성하지 않고 플러그를 충전하는 층으로 쉽게 플로우될 뿐만 아니라 노출된 층을 평평하게 만들어 상당히 평탄화한다.
시드층과 고온 리플로우층을 순차적으로 증착하는 저온-고온 표준 등방성 PVD 공정에서도 유사한 결과를 얻을 수 있음이 관찰되었다.
성취할 수 있는 결과의 한 예로, 1.2㎛ 깊이와 약 1:1 종횡비를 가진 구멍에 알루미늄을 충전하는데 리플로우가 사용되면, 480℃의 기판온도는 알루미늄을 약 3-4분 내에 평탄하게 할 것이다. 그러나 1.2㎛ 깊이를 가진 플러그의 폭이 0.5㎛나 그 이하로 감소되면, 즉 종횡비가 2:1이거나 그 이상이 되면, 리플로우는 효과적이지 못하다. 플러그가 초기에 일관성 PVD로 증착되어도 리플로우가 가능한 저온에서 수행된 표준 등방성 증착 공정은 시간이 오래 걸려 높은 종횡비의 홀을 충전할 수 없다.
고온 증착시 높은 기판 온도는 알루미늄 평탄화를 촉진하고 가속화시키지만, 기판이 너무 뜨거우면 저온 시드층이 알맹이들과 결합되어 적절한 박막층의 형성을 방지한다. 게다가 PVD의 일부 기판 온도 이상에서는 예전에 증착된 다른 재료는 그 형태가 비틀어지거나 가열로 인하여 손상될 것이다.
높은 종횡비의 홀을 충전하는 다른 공지된 방법은 타겟에서 스퍼터된 분자들의 일부분을 이온화하여 이온화된 타겟 원자를 전기적으로 기판에 흡입하는 것이다. 스퍼터된 이온들의 자장-제어 이동은 자장을 조절하여 스퍼터된 원자들이나 클러스터를 기판 평면에 수직방향으로 움직이게 할 수 있다. 따라서 스퍼터된 재료는 플러그 홀의 하부와 측면벽의 하부에 도달하는 경향이 있어 플러그 홀의 상부 가장자리에는 수집되지 않을 것이다.
예를 들어, 미합중국 특허 제 5,178,739 호에서 Barnes 등은 단부 스퍼터 타겟과 기판 사이에 배치된 중공의 실린더형 스퍼터 타겟을 포함하고, 이 모두가 진공 챔버에 들어 있는 스퍼터 증착 시스템을 기술한다. 자석은 실린더형 타겟에 인접하게 진공 챔버의 외측에 배치되어 타겟 부근에서의 아르곤 플라즈마 밀도를 증가시켜 타겟으로부터 스퍼터된 재료의 양을 증가시킨다. 타겟 근처에서 플라즈마를 형성하기 위해 타겟과 웨이퍼 사이에 있는 챔버에 RF 전력이 유도적으로 결합된다. 플라즈마에 결합된 높은 레벨의 RF 전력은 고밀도 플라즈마(HDP)를 만들고, 이는타겟의 원자들이 웨이퍼로 이동하는 동안에 이온화 분률을 더 높게 한다. 웨이퍼를 지지하는 받침대 및 웨이퍼는 이온화된 타겟 원자들을 끌어당기기 위해 전기적으로 DC 바이어스된다. 웨이퍼의 바이어스 정도는 타겟 원자들이 웨이퍼에 부딪힐 때의 에너지와 방향성을 결정한다. 따라서, 이온화 PVD 공정은 이온화된 타겟 이온들이 웨이퍼 옆에 있는 플라즈마 덮개를 통과한 후에 낮은 각도로 발산하므로 높은 종횡비를 가진 플러그와 같은 구멍들의 바닥을 균일하게 채울 수 있다. 아래에서 논의한 바와 같이 다른 방법으로도 HDP-PVD 공정을 할 수 있다.
금속의 이온화 증착은 깊은 홀을 충전할 수 있는 것으로 알려져 있지만, 이 방법은 표준 PVD 보다 낮은 증착율을 나타내고, 요구되는 전력이 높고, 장비가 표준 PVD 장비보다 훨씬 더 비싸기 때문에 경제적인 이유로 이용도가 감소되고 있다. 이러한 단점들에도 불구하고 이온화 PVD가 약 2:1이상의 종횡비를 가진 홀들을 충전하는데 필요하게 될 것이고, 일관성 PVD 이후 표준 PVD 및 리플로우와 같은 기타 다른 방법들은 IC선의 폭이 계속감소함에 따라 당업계의 요구를 만족시키지 못할 것으로 여겨진다.
콘택의 경우 콘택 홀을 충전하는 알루미늄은 하부에 놓인 실리콘과 전기적으로 접해야 한다는 문제점이 있다. 알루미늄이 직접 실리콘에 접하게 하면 알루미늄이 실리콘으로 확산되어 이 반도체 특징을 심하게 변환시킨다. 그러므로, 실리콘과 콘택 충전물 사이에는 배리어층이 형성되어야 한다. 전형적인 해결 방법은 알루미늄을 플러그 홀에 충전하기 전에 콘택 홀에 Ti/TiN 배리어층을 증착하는 것이다. 그러나, Ti/TiN 배리어층이 통상적인 PVD로 증착되면 만들어진 TiN에 비교적 구멍이 많이 있어 알루미늄이 이를 통하여 여전히 확산할 수 있다. 결과적으로 미합중국 특허 제 5,378,660에서 Ngan 등에 의해 발표된 바와 같이 산소를 함유하는 환경에서는 450℃-480℃에서 또는 가능하면 약간 더 높은 온도에서 PVD 증착된 Ti/TiN층의 어닐링이 흔히 사용되어 왔다. 이 처리는 알루미늄 확산을 방지하기 위해 TiN 구멍을 산소로 "채우는 것"이다.
보통 Ti층이나 TiN과 같은 Ti 화합물 층은 알루미늄과 접착하여 이 위에서 알루미늄은 알갱이로 되지 않고 더 쉽게 흐르는 경향이 있다. 웨팅은 적절한 온도에서 좁은 플러그 홀의 충전을 촉진함을 보여주었다. 그러나, PVD로 증착된 TiN을 산소로 채우는 과정은 웨팅을 심하게 저하시킨다. 이러한 효과를 피하기 위해서 Ong은 미합중국 특허 제 5,371,042 호에서 산소 어닐링된 TiN 배리어층 위에 Ti이나 Ti-함유 재료로 웨팅층을 증착하는 것을 제안하였다. 이 공정은 제 5도의 흐름도에서 좀더 완벽하게 보여준다. 제 120 단계에서는 표준 PVD 공정을 사용하여 Ti층을 먼저 증착하고나서 그 위에 TiN층을 증착한다. 제 122 단계에서는 산소 분위기에서의 어닐링을 위해 일반적으로 웨이퍼를 다른 어닐링 챔버로 이동한다. 제 124 단계에서는 Ti층의 스퍼터 증착을 위해서 웨이퍼를 PVD 챔버로 다시 옮긴다. 제 126 단계에서는 첫 저온 단계 후에 고온 리플로우 단계로 알루미늄층의 스퍼터 증착을 위해 웨이퍼를 다른 PVD 챔버로 옮긴다.
Ong 공정은 현재 고안된 플러그나 콘택들에 대해 적절한 구멍 충전방법을 제공하는 것 같다. 그러나, 이 공정은 지나치게 복잡하여 산소에서 어닐링하기 전후에 Ti이나 TiN의 PVD 증착을 적어도 두 번 요구한다. 높은 종횡비의 플러그 충전을위해 더 단순한 공정을 제공하는 것이 아주 바람직하다.
수직적 상호연결부 등 여러 가지 응용에는 콘택 또는 비아를 충전하기 위해 증착된 알루미늄이 동시에 유전층(102)의 평면 위에 증착된다. 일반적으로 도시되지 않은 TiN 무반사 코팅 증착 후에, 상기 얇은 알루미늄층은 제 6 도에 정사도에 도시된 것처럼 포토리소그래피방식으로 패턴화되어, 예정된 배선에 의해 상이한 소자를 연결하는 상호연결 라인을 형성하며, 도시된 상호연결부(130)는 2개의 하부에 놓인 콘택 또는 비아(132, 134)를 연결하며 하나 또는 두 개의 비아(131, 134)는 상부에 놓인 층과 연결될 수 있다.
최신 집적회로에서는 배선 패턴은 아주 조밀할 수 있다. 따라서, 상호연결 라인은 비교적 폭이 좁게 만든다. 그러나, 좁은 폭으로 인해 전기적 소자들 사이의 상호연결부를 흐르는 요구되는 레벨의 전류는 상호연결부에서의 비교적 높은 전류 밀도를 산출하게 한다. 알루미늄에서 높은 전류 밀도는 국부적인 작은 결합(136)이 야기하는 바와 같이 핫 스폿으로부터 알루미늄의 전기이동을 야기시킨다는 것은 공지된 문제점이다. 그러나, 이 이동은 핫 스폿으로부터 재료를 제거시켜 이 점에서 상호연결부의 단면을 감소시키므로 전기이동을 더욱 촉진한다. 제 7도의 정사도에서 보여준 바와 같이 전기이동은 작은 결함(136) 주위에 있는 핫 스폿을 상호연결부(130)의 브레이크(break)(138)로 발전시켜 두 개의 플러그(132, 134) 사이에 전기적 접속을 파괴시킬 수 있다. 따라서, 전기이동은 알루미늄 상호연결부가 소정기간동안 사용된 후에 끊어지게하여 고장의 원인이 된다.
알루미늄이 몇가지 결정학적으로 배향된 TiN 위에 증착되면 전기이동이 감소될 수 있다는 것을 잘 알려진 사실이다. 김 등은 이 결과를 Proceedings IEEE VMIC Conference, June 27-29, 1995 (104/95/0443). p. 433의 "반응성-스퍼터된 TiN이 Al 합금 금속화가 전기이동에 미치는 영향"에 발표하였다. Campbell 등은 이 효과를 철저하게 연구조사하여 Journal of Electronic Materials, vol 22, 1993, pp. 589-596의 "스퍼터된 Al-1% Si 박막의 기질과 전기이동 수명 사이의 관계"에 그 결과를 제시하였다. Knorr 등은 Journal ol Applied Physics, vol 79, 1996, pp. 2409-2417의 "순수 알루미늄막에서 전기이동 행위에 대한 기질의 역할"에서 전기이동을 증착된 알루미늄막의 결정상 배향에 연관시켰다. Knorr 등은 알루미늄 증착의 한 방법에서 1-2% 이온 함유량을 보고한 것이 주목된다. Kordic 등은 Journal of Applied Physics, vol 74, 1993, pp. 5391-5394의 "Al(Si)(Cu) 금속화의 스트레스 보이딩 및 알루미늄 그레인의 결정 배향의 상호관계"에서 다른 실패 모드도 결정상 배향에 유사하게 관련이 있다고 기술하였다. 어떤 플러그 충전공정이 개발되든지 상호연결부분에 충분히 낮은 전기이동을 제공하는 평탄화 공정과 통합될 수 있으면 매우 바람직하다.
발명의 요약
따라서, 본 발명의 목적은 콘택, 비아 및 다른 구멍들, 특히 높은 종횡비를 가진 것들에 금속 또는 다른 재료의 충전 방법을 제공하는 것이다.
다른 목적은 경제적이고 높은 증착율을 나타내는 방법을 제공하는 것이다.
이외의 추가 목적은 수평적 금속 상호연결 레벨의 증착과 동시에 얄립가능한 홀-충전 프로세스를 제공하는 것이다.
또 다른 추가 목적은 우수한 결정상을 가진 금속 평면층을 증착하는 방법을 제공하는 것이다.
이 목적들 및 다른 목적들이 상세한 설명과 청구항에서 명백하게 이해될 것이다.
본 발명은 높은 종횡비를 가진 구멍을 충전하는데 특히 유용하고, 더 나아가 나중에 상호연결부로 구성되는 평면 금속층의 증착에도 유용한 PVD(물리적 기상 증착) 공정에 의한 금속 증착 방법으로 요약될 수 있다. 본 공정은 고밀도 플라즈마(HDP)를 사용하는 PVD 공정에 의한 라이너층의 증착과 그 후에 높은 증착율을 제공하는 표준 PVD 공정을 사용하는 것이 바람직한 알루미늄의 PVD 증착을 포함한다. 라이너층은 1-3개의 다른 서브층을 포함한다. 제 1 서브층, 바람직하게 Ti와 같은 내화 금속은 특히 금속이 하부에 놓인 실리콘에 접할 때 인가되고 Ti를 포함한다. 중요한 것은 제 2 서브층은 TiN과 같은 내화질화물의 내화 화합물로 구성되며 HDP-PVD에 의해 증착될 때 평탄하고 조밀한 결정구조를 형성한다. 세 번째 서브층은 Ti와 같은 내화 금속을 상부에 포함하고 그 하부에 있는 TiN으로부터 점차 변화되게 할수 있다. 이는 두가지 기능을 수행한다. 이 층의 형성은 다음 웨이퍼 공정을 위해 스퍼터 타겟을 세척하고, 그의 내화 금속 표면은 좁은 구멍 안에서 리플로우를 촉진한다. 현재 적용되는 상호연결부 금속으로는 알루미늄이 이상적이고 표준 PVD에 의해 두 단계 공정으로 이 금속이 구멍을 충전하기 위해 증착되는 것이 바람직하다. 이 두 단계 공정은 먼저 저온 증착하고 나서 고온 증착하는 것으로 비교적 저온 범위 내에서 한 개 또는 두 개의 챔버에서 수행될 수 있다. 이 결과로얻은 알루미늄의 결정상 특성은 전기이동에 대한 저항력을 향상시킨다. 또한, HDP TiN층과 그 후의 Al증착은 평탄화를 요구하지 않고 향상된 결정 구조로 인해 전기이동에 대한 저항력 개선으로부터 혜택을 받을 수 있는 다른 구조에도 적용될 수 있다.
이상적인 실시예의 상세한 설명
플러그 홀이나 다른 구멍의 내부를 라이너층으로 예비코팅함으로써 높은 종횡비의 플러그를 쉽게 충전할 수 있음을 발견하였다. 라이너 증착은 고밀도 플라즈마를 사용하여 물리적 기상 증착(PVD)으로 수행한다. 이렇게 형성된 라이너층은 뚜렷한 결정 배향, 고밀도, 평탄한 표면의 특징을 나타내며, 알루미늄과 같이 이후에 증착되는 금속에 대해 향상된 접착성을 제공한다. 라이너층은 구멍을 충전하기 위해 표준 PVD 공정으로 증착된 재료의 플로우를 촉진하고, 충전 공정은 500℃ 보다 훨씬 낮은, 심지어는 400℃ 보다도 낮은 비교적 저온에서 수행될 수 있다. 라이너층은 TiN 서브층으로 형성되는 것이 바람직하다. TiN이 배리어층으로 작용하기 위해서 산소 어닐링(annealing)이나 다른 산소 처리가 필요없다. 전기적으로 접촉되는 하부에 놓인 재료가 실리콘일 경우에는, 고온 안닐시 실리콘으로 규소화될 수 있으는 Ti 서브층 위에 TiN 서브층이 증착될 수 있다. 티타늄 실리사이트의 계면층은 금속-반도체 계면의 전자 장벽 높이를 상당히 감소시키므로 양호한 저항 접촉을 만든다. 상부 Ti 서브층은 질소 타겟을 세척하고, 더 나아가 좁은 플러그로의 리플로우를 촉진시킨다.
홀을 충전하는데 있어서 상부에 놓이는 금속층, 예를 들어 알루미늄으로 된이 층은 두 단계의 저온-고온 PVD 공정으로 증착되는 것이 바람직한 것을 발견하였다. 고온 증착은 비교적 낮은 온도에서 수행될 수 있고, 350-420℃ 범위나 혹은 그 이하의 온도가 바람직하다.
제 8도의 단면도는 실리콘 기판(144) 위에 형성된 이산화 실리콘의 유전층(142)을 관통하는 콘택(140)을 포함하는 본 발명의 한 실시예가 도시된다. 유전층(142)을 관통하는 도시된 콘택(140)은 예시적으로 유전층(142)에 대해 0.25㎛ 폭(146)을 갖고, 예시적으로 1.2㎛ 두께를 갖는다. 콘택(140)은 규모에 대략 비례하여 도시하였지만 콘택을 충전하는 층들은 명백하게 표시하기 위해 다소 과장된 두께로 보여준다. 특히 콘택 측벽(152) 상의 층 두께는 상당히 과장되었다. 언급된 콘택 규모는 약 5:1의 종횡비를 가진 콘택(140)이고, 이 종횡비는 본 발명의 주요한 사항이다. 이러한 규모를 가진 플러그는 Applied Material로부터 구입할 수 있는 HDP 산화물 에칭 챔버에서 CF4또는 C2F6로 에칭될 수 있다고 알려져 있다. 하지만, 이러한 동등한 규모를 가진 플러그 또는 트렌치의 충전은 현재 상당한 기술 문제점을 제시한다.
본 발명에 따라 알루미늄이나 알루미늄 합금이 이상적인 금속층(156)이 PVD 공정으로 콘택(140)에 증착되기 이전에 고밀도 플라즈마를 사용하는 PVD 공정이 유전층(142)의 콘택(140) 측면(152) 및 바닥(154)에 라이너층(150)을 코팅하는데 사용된다. 라이너층(150)은 가끔 배리어층으로 지칭되지만, 이는 본 발명에서 추가 기능이 있다. 통상적으로 라이너층(150)은 유전층(142)의 상부 표면(158)에 동시에코팅되어 금속층(156)이 콘택 홀(140)를 충전할 뿐만 아니라 라이너층(150)에 의해 중간에 삽입된 평평한 유전면(158) 너머로 측방으로 연장된다. 콘택(140)에 접합되는 금속 상호연결부와 같은 표면 구조를 형성하기 위해 라이너층(150)과 코팅층(156)이 포토리소그래피방식으로 형성될 수 있다.
금속층(156)은 기능적으로 라이너층(150)이나 임의의 서브층과 구별될 수 있다. 라이너층과 그 서브층들은 박막의 작은 치수에 대해 두께 방향으로 전기적으로 도전될 수 있도록 예상된다는 점으로 보아 얇은 횡단막으로 작용한다. 따라서, 이들의 도전성이 요구되지만 이들의 도전을 값은 중요하지 않으며, 실제로 TiN과 Ti은 Al 보다도 훨씬 낮은 도전율을 보여준다. 그러나, 금속층은 본체의 주요 치수를 따르는 방향으로 전기적으로 도전도리 것으로 예상된다. 주요 치수는 플러그의 깊이일 수도 있고 또는 상호연결부의 길이일 수도 있다. 어떤 경우든지 간에, 특히 상호연결부의 경우에 금속층의 낮은 고유 저항이 중요시 된다.
HDP-PVD 및 압력 의존도에 관한 이론
본 발명은 효과를 설명하는 이론에 제한되지 않으며, 이하 설명은 본 발명의 이해를 위한 일부 메커니즘을 설명한다.
라이너층을 위해 바람직한 HDP-PVD 공정은 스퍼터된 입자들을 10%-100% 범위의 이온화 분률로 완전히 또는 일부분 이온화시킨다. 이온화 분률은 RF 전력 레벨과 처리가스의 압력에 의해 주로 제어된다. 이온화 분률은 보통 직접 측정될 수 없으나 이온화 정도는 좁은 플러그의 충전 결과를 보여주는 주사전자현미경 사진(SEM)을 육안으로 검사하여 모니터된다. 이온화된 스퍼터 입자들은 DC 바이어스된 기판에 정전기적으로 흡인되므로 이러한 흡인은 좁은 플러그 홀 바닥의 효과적인 코팅 및 얇은 층을 가진 측면의 코팅에 사용될 수 있다. 기판의 DC 바이어스는 DC 자기 바이어스를 초래하는 기판 홀의 RF 바이어스로 달성될 수 있다. 실제로, DC 바이어스는 웨이퍼의 유전체 부분에 전하 축적을 일으키므로 바람직하지 못하다.
고밀도 플라즈마는 내부에 있는 전체 체적을 거의 채우는 것으로 간주되며 1011cm-3이상의 평균 이온화 밀도를 갖는다. 체적을 거의 채우는 플라즈마는 경계층(덮개)를 채우지 않고 작은 구멍 뒤의 체적과 같이 차폐된 체적은 채우지 않을 것으로 예상된다. 체적 충전 요구조건은 HDP 소스는 고려하지 않지만 1011cm-3이상의 국부화된 플라즈마 밀도를 갖는 플라즈마 소스와는 구별하는 것이 요구되며, 이는 플라즈마의 전체 체적을 평균화할 때 플라즈마 밀도가 매우 낮기 때문이다. 예를 들면 표준 마그네트론 스퍼터 소스는 타겟 부근의 부식 트랙에서는 아주 높은 플라즈마 밀도를 갖지만, 타겟으로부터 조금만 떨어져도 밀도가 아주 낮아진다. 플라즈마 밀도를 측정하는 바람직한 방법은 Journal of Vacuum Science and Technology A, vol 11, 1993, pp. 152-156의 "고주파 유도 플라즈마의 랭뮤어 프로부 측정"에서 Hopwood 등에 의해 기술된 랭뮤어 프로부를 사용하는 것이다. 이러한 고밀도 플라즈마는 다양한 방법에 의해 달성될 수 있으며, 일부 예는 이하 설명된다.
입자들이 타겟으로부터 스퍼터될 때, 이들은 일반적으로 중성이다. 입자들은타겟과 기판 사이에 있는 고밀도 플라즈마를 통과하면서 이온화된다. 기판을 적당히 바이어스함으로써 발생한 금속 스퍼터 이온은 기판으로 배향하게 될 수 있다. 또한, 기판 바이어스는 금속 이온의 입사 에너지를 제어하는데 사용될 수 있어, 보다 조밀하고 평탄한 박막을 얻는 도구로 제공된다.
스퍼터 원자들이 고밀도 플라즈마를 통과하고 플라즈마 입자들 안에 있는 입자들과 상호작용하는 동안에 2개의 이온화 과정으로 이온화된다. 전자들이 원자의 이온화 전위보다 큰 에너지를 가지면 스퍼터된 원자들과 플라즈마의 전자들 사이의 충돌로 인해 스퍼터 원자들이 이온화될 수 있다. 몇몇 흔히 스퍼터되는 금속들의 이온화 전위가 표 1에 제시된다.
표 1
두 번째 이온화 과정에서, 스퍼터 원자들과 배경 가스의 준안정 중성사이의 층들은 페닝 이온화(penning ionization)로 공지된 과정에 의해 스퍼터 원자들을 또한 이온화할 수 있다. 스퍼터하는데 가장 흔히 사용되는 배경가스는 아르곤이다. 이 가스는 약 12eV의 준안정성 에너지 레벨을 갖기 때문에 상기 준안정성 기체들은 이온화하는데 중요한 소스가될 수 있다.
제 10도를 참조하여 나중에 상세하게 설명될 이상적인 PVD 플라즈마 반응기는 챔버 측면을 둘러싸고 있는 RF 전원에 연결된 유도 코일에 의해 고밀도 플라즈마를 생성시킨다. 코일에 공급되는 RF 전력은 챔버 내에서 일반적으로 축 자기장을 통하여 전반적으로 방위각적이고 배경 가스(아르곤)를 붕괴할 만큼 강력한 전기장을 발생시킨다. 코일과 플라즈마는 변압기로 간주될 수 있고 코일은 1차 회선이고 플라즈마는 일선회하는 2차 회선이다. 2차 최선에서 유도된 전류는 순회하는 전자들로 주로 구성된다.
플라즈마 밀도는 일반적으로 코일에 가해진 RF 전력에 정비례하므로 RF 전력을 두배로 하면 플라즈마 밀도가 두배로 된다. 그러나, 타겟에 가해진 DC 전력은 플라즈마 밀도를 낮추는 경향이 있으므로 스퍼터된 원자들이 플라즈마에 더 많이 첨가됨에 따라 플라즈마의 전자 에너지는 더욱 떨어진다. 스퍼터 원자들의 이온화 분률은 RF 전력이 증가함에 따라 증가하고 DC 타겟 전력이 증가할수록 감소한다.
스퍼터된 금속 원자들의 이온화 확률은 플라즈마 밀도 및 금속 원자가 플라즈마에서 보낸 시간에 따라 변한다. 전형적인 저압 스퍼터링에서, 스퍼터 원자들이 1-10eV 정도의 상당히 높은 에너지를 가지고 타겟으로부터 방출된다. 따라서, 원자들은 타겟을 향하여 신속하게 이동하여 낮은 이온화 확률을 준다. 원자들이 플라즈마 입자들과 충돌하는 것을 확실하게 함으로써 이의 이온화 확률이 극적으로 증가될 수 있고 충돌로 인해 이들의 에너지가 감소되고 방향을 예측하지 못하게 한다. 플라즈마가 더 높은 기체 압력에서 작동함으로써 충돌 확률을 증가시킬 수 있다. 이온의 에너지가 일단 감소되면 웨이퍼 표면에 수직인 이온의 속도는 기판 바이어스에 의해 증가될 수 있다.
아르곤 배경 가스에서 티타늄 타겟으로부터 높은 종횡비를 가진 구멍들에 스퍼터되는 원자를 실험 하였다. 바닥 커버리지를 다양한 아르곤 압력하에서 측정되었다. 바닥 커버리지는 구멍의 바닥에 증착된 금속의 두께를 평평한 표면에 증착된 두께를 비교한 것이다. 제 9도의 그래프 선(159)에서 보여준 바와 같이 10mTorr나 그 이하의 압력에서는 바닥 커버리지가 20% 이하인 결과를 얻었다. 10mTorr 이상에서는 바닥 커버리지는 증가되었고 30mTorr에서 이는 약 50%이었다. 이 상관관계에 의하면 50mTorr 주위에서 접근선에 접근할 것으로 예상된다. 이 데이터들은 스퍼터링시에 압력이 10mTorr 이상이어야 하며 30mTorr나 그 이상이 이상적임을 보여준다. 이 값들은 구멍의 기하학적 구조, 타겟 재료, 및 챔버 설계에 따라 다르며 이상적인 압력 범위는 1mTorr에서 100mTorr일 것으로 예상된다.
기판에 입수되는 스퍼터 이온의 방향은 기판 바이어스에 의해 결정되고, 깊은 구멍의 충전을 위해서는 웨이퍼 면에 수직적인 속도 성분이 수평성분보다 훨신 더 커야만 한다. 기판 바이어스의 정전기적 흡인은 수직성분만을 증가시키는 반면, 고압 작동은 정전기 흡인 이전에 수평성분은 물론 수직성분도 감소시킨다. 특별한 기판 바이어스 없이도 플라즈마 전위와 웨이퍼의 플로팅 전위 사이의 차이 때문에 입사 이온은 다소 방향성을 나타낸다. 플로팅 웨이퍼 전위는 -2V 정도이다. 이 실험에서 플라즈마 전위가 측정되지 않았지만 이는 10-30V 범위에 있는 것으로 기대된다. 기판과 플라즈마사이의 임의의 전위차는 보편적으로 중성이나 이나 이는 도전성이 있는 플라즈마와 기판 사이에 있는 얇은 경계층에서만 나타난다. 이 경계층은 플라즈마 덮개(sheath)로 알려져 있고 고밀도 플라즈마의 경우에는 1mm 이하의 폭을 갖는다.
콘택 구조
라이너층(150)의 첫 번째 실시예는 3개의 서브층을 포함한다. 본 실시예에 주어진 재료들은 본 발명에 사용가능한 재료의 전부라고는 볼 수 없다.
제 1 서브층(160)은 Ti 타겟으로부터 스퍼터되고 스퍼터 과정 동안에 일부 또는 완전히 이온화되는 티타늄으로 구성된다. 제 1 서브층(160)은 고온 어닐링 단계에 의해 하부에 놓인 실리콘(144)으로 실리사이드화될 수 있다. 하부에 놓인 기판이 금속 상호연결부와 같은 금속이면 제 1 서브층(160)이 꼭 필요하지 않다.
제 2 서브층(162)은 티타늄 화합물, 바람직하게는 질화 티타늄을 포함한다. 질화 티타늄은 반응적으로 스퍼터되는 것이 바람직하다. 이 과정에서 티타늄은 Ti 타겟으로부터 스퍼터되며 이온화된다. 이는 감소된 압력에서 플라즈마 반응 챔버를 채운 질소 가스와 반응하며, 반응된 화합물 TiN이 웨이퍼상에 코팅된다.
제 3 서브층(164)은 TiN으로부터 시작하여 비교적 순수한 Ti로 끝나는 스퍼터 증착재료들이 변화하는 층이다. 서브층(164)은 TiNx층으로 가끔 지칭될 것이고, 여기에서 x는 원자 백분률로 약 1에서 0으로 변화하나 이 구성은 TiyNx로도 표시될 수 있고, 이 경우에는 y≥x.
라이너층(150)의 전체 두께는 평면형 상면(158)에서 측정되었을 때 약 80nm이지만, 이 두께는 5-100nm 범위에 있을 수 있고, 40-80nm가 바람직하다. 이온화 PVD에 의한 이 세 개의 서브층들(160,162,164)의 형성은 콘택 홀(140)의 측면(162)의 일부 및 특히 하부(154)에 코팅된다.
HDP-PVD에 의해 증착된 라이너층(150)은 콘택 홀(140)에 알루미늄의 효과적인 충전을 촉진시키며, 바람직하게 상부에 증착된 금속 내에 잘 배향된 결정구조를 촉진하는 세가지 특징을 갖는다.
첫째, 라이너층(150), 즉 티타늄 원소 서브층(160)과 반응 스퍼터된 TiN 서브층(162)의 HDP-PVD 증착은 콘택(140) 하부(154)를 덮는 층을 증착하는데 높은 바닥 커버리지를 제공한다. 적어도 스퍼터된 입자들의 상당부가 이온화되어 웨이퍼(144)의 표면에 수직 각도로 흡인되어 깊고 폭이 좁은 콘택홀(140)속으로 멀리 스며들수 있는 것으로 믿어진다. 측벽(152)의 코팅은 덜 효과적이나 그래도 약 10%의 커버리지를 야기가 이루어진다.
둘째로, HDP-PVD 증착은 상당히 평평한 표면을 가진 라이너층(150)을 만든다. 이는 Ti 및 TiN 서브층들(160, 162)에서 비롯된다고 믿어지고 있다. 주사전자현미경 사진은 증착된 라이너층의 TiN 부분은 매끄러운 표면의 조밀한 결정구조를 가지고 있음을 보여주고, 결정구조는 그 물질의 밀도가 높음을 보여준다. 이 두가지 결과는 이온화된 스퍼터 입자들이 평균 열에너지가 훨신 낮을 때는 리플로우되는 반면에, 약 10eV의 비교적 높은 에너지에서는 기판에 부딪치기 때문에 생기는 것으로 믿어진다. SEM은 표면 조도가 약 1.5nm RMS(root mean square)임을 보여주고 종래 기술에 의해 통상적으로 스퍼터된 층들의 값인 4-5nm의 약 1/3이다. 표면 조도는 표면의 SEM 단면들을 육안으로 검사하고 평균 표면 레벨과 상하부 표면 편차를 결정함으로써 측정된다. 편차 RMS 값은 보통 통계적 표본 추출로 결정된다. 고밀도는 HDP 증착된 TiN에 구멍이 없다는 것을 암시하므로 구멍 통로를 채우기 위한 산소 어닐링이 필요가 없음을 암시한다. 즉, 증착된 HDP TiN 그 자체가 효과적인 배리어층을 제공한다.
셋째로 형성된 라이너층(150)은 후에 증착될 재료에 대해 특히 알루미늄과 그 합금에 대해 높은 접착성을 보여준다. 라이너층과 알루미늄 사이의 계면에 좋은 접착성 때문에 노출된 표면 위에 알루미늄의 표면장력은 알루미늄이 입자로 방울지지(bead) 않을 정도로 충분히 낮아서, 접착성은 충분히 낮은 온도에서도 적당히 짧은 시간내에 콘택 홀(140)의 벽을 따라 알루미늄이 플로우하는 것을 촉진시킨다. TiN 서브층 조차도 양호한 접착성을 제공하므로 산소로 채워질 필요가 없으나 접착성은 Ti을 제공하는 것보다는 좋지 않다.
게다가 밑에 있는 재료가 실리콘이면 라이너층(150)은 2가지 추가 기능을 할 수 있다. 라이너층의 TiN 부분은 알루미늄과 실리콘 기판(144) 사이의 배리어층으로 작용하므로 알루미늄이 실리콘으로 이동하여 민감한 반도체 특성에 영향을 주는 것을 방지한다. 실리콘 기판(144)은 폴리실리콘 레벨, 라인, 또는 다른 형태일 수 있지만, 트랜지스터 부분을 구성하는 도핑된 결정성 영역에서 도핑농도는 중요하다. 또한, 최하부의 Ti 서브층(160)은 실리콘 기판(144)으로 규소화될 수 있다. 즉, 티타늄이 실리콘에 증착된 후에 그리고 통상적으로 라이너층의 첫 번째 두 개의 서브층이 증착된 후, 웨이퍼는 600℃ 이상의 충분히 높은 온도에서 웨이퍼가 어닐링되어, 이들의 계면 부근에서 하부에 있는 Si과 Ti층이 함께 확산되어 변화되는 조성의 합금 영역을 형성한다. 실리사이드화는 계면에서 에너지 장벽을 감소시킴으로써 콘택 저항의 형성을 보조한다.
상기 조성을 가진 라이너층(150)으로서, 금속층(156)은 전형적인 PVD 공정으로 증착될 수 있고 대체적으로 낮은 온도에서 비교적 짧은 시간 내에 수행되는 리플로우 공정은 보이드를 형성하지 않고 콘택(140)을 쉽게 충전할 수 있다. 후에 자세히 설명이 되겠지만, 알루미늄 증착은 단시간 저온 증착후에 장시간 고온 증착을 하는 2단계 PVD 공정이 바람직하다. 더 많은 데이터가 나중에 제시될 것이나 실용적인 리플로우 온도는 480℃이하이고 350℃까지 내려갈 수 있는 것으로 관찰되었다. 많은 재료 조성과 적당한 리플로우 시간의 경우에서 최저 온도 범위는 320℃일 것으로 예상된다. 예를 들어, 390℃에서 2분간 리플로우하거나 350℃에서 10분간 리플로우하면 콘택 홀을 충전할 것이다. 종래 기술에서의 몇몇 제안과는 상반되게도 모든 플러그를 충전하는데 이온화 증착이 요구되지 않는다. 또한, 낮은 리플로우 온도는 온도에 민감한 요소가 이미 형성된 후에 PVD를 수행할 수 있도록 허용한다. 대부분의 금속 증착을 위해서는 높은 증착율을 가진 표준 PVD 증착이 훨씬 바람직하고, 이는 고증착율로 인해 시스템에서 가능한 생산량을 크게 증가시키기 때문이다. 또한, 금속층(156)을 증착하는데 표준 PVD의 사용은 PVD 시스템의 복잡성과 비용을 상당히 줄인다.
HDP-PVD 챔버
HDP-PVD 플라즈마 챔버의 한 실례는 제 10도에 도시된다. 진공 챔버(170)는 스퍼터 타겟(172)과 처리 중에 있는 웨이퍼(176)를 지지하는 기판 받침대(174)를 포함하는 공간을 에워싼다. 스퍼터 타겟(172)은 진공 챔버(170) 벽의 일부분을 형성하지만 전기적으로 나머지 부분으로부터 분리되어 있다. 스퍼터링 속도를 증가시키기 위해, 회전하는 일련의 스퍼터 자석(178)이 타겟(172) 뒷면에 장착되어 타겟(172) 옆에서 강한 플라즈마를 만드는 마그네트론 타겟 조립품을 형성한다. DC 전원(180)은 기판 받침대(174)에 비해 스퍼터 타겟(172)이 음전기를 띠도록 바이어스하여 타겟(172)는 음극으로 작용하고 챔버벽(170)은 양극이 되도록 한다. 음전압은 진공 챔버(170) 내에 있는 아르곤 플라즈마를 일부 유지시키고 나서 이온화된 아르곤 원자들을 끌어들여 충분한 에너지를 가지고 타겟(172)을 가격하여 타겟(172)으로부터 입자들이 스퍼터되게 한다. RF전원(182)은 기판 받침대(174)에 연결되어 플라즈마에 대해 받침대(174)가 DC 자기-바이어스되어 웨이퍼(176)에 부딪치는 입자들의 에너지를 제어한다.
고밀도 플라즈마를 얻기 위해서는 유도 코일(186)이 타겟(172) 음극과 받침대(174) 양극 사이에 있는 공간을 에워싸고 또 다른 RF 전원(188)이 코일(186)을 가로질러 연결되어야 한다. 코일(186)은 대량의 RF 에너지와 플라즈마를 유도 결합시킨다. 진공 챔버(170) 벽과 코일(186)의 정확한 배열은 본 발명에서 중요한 요소가 아니며, 제 10도는 단지 개략적인 도면을 제시한 것이다. 따라서 코일(186)은 진공 챔버(170) 내에 있음을 보여주지만, 이는 진공 챔버(170)측면을 형성하는 원통형 절연벽의 외부에 놓을 수 있고 코일의 다른 모양과 위치로 가능하다.
코일(186)에 의해 플라즈마에 공급된 추가 에너지는 이 플라즈마를 지나는 스퍼터 입자들을 상당히 이온화시키는 것으로 믿어진다. 더 나아가, 이온화 분률은 유도 코일(186)에 공급된 RF 전력과 타겟 음극(172)에 공급된 DC 전력 사이의 비에 따라 증가한다고 믿는다. 20-60% 범위에 있는 RF 대 DC 전력의 비는 사용되는 HDP-PVD 챔버의 기하구조에서는 이상적이지만, 다른 기하구조에 대해서는 최대 200%까지의 비율이 요구될 수도 있다. RF 전력(182)에 의해 발생한 기판 받침대 상의 DC 자기 바이어스가 증가할수록 이온화 효과는 더욱더 현저해지므로 이온화된 입자들을 구멍으로 더 잘 끌어들여질 수 있다.
진공 펌프 시스템(190)은 높은 진공의 진공 챔버(170) 내에서 기저압을 10-7Torr 이하로 유지시키는 것이 바람직하고, 챔버내에 주입되는 제어 기체가 없는 이상 전반적으로 약 2×10-8Torr로 유지한다. 질소와 아르곤은 각각의 소스(192, 194)로부터 챔버(170) 속으로 공급되며 컴퓨터화된 챔버제어기(200)에 의해 각각의 유량 제어기(196, 198)를 통해 그 양이 제어되며, 이 제어기는 버스(202) 위에 있는 시스템 제어기에 연결될 수 있다. 아래에 상세히 설명한 바와 같이 플러그 충전은 HDP 스퍼터 동안 챔버(170)에 유지된 압력에 따라 크게 변화하고, 압력은 0.1-60mTorr 범위, 바람직하게는 30mTorr 이상에 있어야 한다.
미합중국 특허 제 4,844,775 호에서 Keeble에 의해 기술된 시스템과 같이 비슷한 HDP-PVD 시스템이 알려져 있다. 아래에 언급된 실험에서 사용된 시스템을 더 자세히 설명할 것이나, 보다 정확한 공정 규격 한계들은 실시예에서 기술될 것이다. 실험 시스템은 8인치(200mm) 웨이퍼를 공정할 수 있다. 타겟(172)의 작동 부분은 티타늄이나 질화 티타늄의 스퍼터 증착을 위해 주로 티타늄으로 만들어졌지만 타겟에서 티타늄을 합금할 수 있다는 것은 공지된 사실이다. 타겟(172)은 14인치(35.5cm)의 직경을 가지고 받침대(174)로부터 약 5인치(12.7cm) 떨어져 있다. 타겟(172)은 약 24kW까지의 DC 전력을 흡수할 수 있지만 이 실험에서의 DC 전력은 약 3kW-5kW로 제한되었다. 코일(186)은 3번 선회하며 냉각수가 흐르는 0.25인치(6.4mm) 직경의 금속관으로 구성되지만 다른 구조도 쉽게 적용될 수 있다. 이의 RF 전원(188)은 1.5kW의 전형적인 RF 전력으로 2-4MHz의 범위에서 가동하나 표준 13.56MHz와 같은 다른 주파수도 사용될 수 있다.
제 10도의 유도 결합된 HDP-PVD 시스템이 바람직하나 다른 HDP-PVD 시스템들도 고밀도 플라즈마를 만들고 스퍼터된 재료를 이온화할 수 있다고 알려져 있다. 이런 PVD 시스템들로 Matsuoka 등에 의해 미합중국 특허 제 4,911,814 호에 기술되고, 여기에 참고로 언급된 ECR(전자-사이클로트론 공명) 전원 또는 Campbell등에 의해 미합중국 특허 제 4,990,229 호에 묘사되고, 여기에 참고로 언급된 헬리콘형 카플링 장치가 있다. 또한, 다른 유형도 스퍼터된 입자들을 이온화하도록 충분히 높은 밀도의 플라즈마를 제공하면 사용가능하다.
HDP-PVD 반응기는 표준 PVD 반응기와 다르다. 표준 PVD 공정들은 현재 사용되는 상업용 PVD 공정들을 의미하며, 예를 들면 Applied Materials의 Endura PVD 시스템에서 수행되는 공정들이 있다. 이 표준 PVD 시스템은 타겟을 포함하며, 상기 타겟은 플라즈마를 여기시키고 타겟으로 이온화된 아르곤 스퍼터링 이온을 유인하기 위해 웨이퍼에 대해 DC 바이어스되어 타겟으로부터 입자를 스퍼터하며, 상기 입자는 대부분 중성으로 남아 있게된다. 표준 PVD 반응 챔버는 고밀도 플라즈마를 생성시키지 않고 상품화된 시스템은 유도 코일(186)과 받침대(174)를 위한 RF 소스(182)가 없다는 점에서 제 10도의 HDP-PVD 챔버와 다르고, 대신에 받침대는 타겟(172)에 대하여 전기적으로 플로팅 상태이며 접지와 절연된다. 상기 Applied Materials의 Endura PVD 시스템은 고밀도 플라즈마 시스템으로 간주되지 않으며, 스퍼터된 금속 입자 플럭스를 이온화시키기 위한 특별한 수단을 제공하지 않는다. 금속 이온화 분률은, 즉 스퍼터 입자들의 이온화된 분률은 표준 PVD 시스템에서 10% 이하이고, 일반적으로 1% 이하인 것으로 추정된다. 본 발명은 전체 금속층(156)이 HDP-PVD 시스템으로 증착되는 것을 허용하지만, HDP-PVD는 통상적인 PVD 보다 상당히 낮은 속도로 재료를 증착하고 반응기 값이 더 비싸게 요구되기 때문에 이러한 균일한 공정은 낮은 수율을 나타낸다.
클러스터 장치
스퍼터링은 매우 높은 진공을 요구하는 공정으로 스퍼터링된 다수의 층은 아주 소량의 산소가 존재하는 상태에서도 쉽게 손상된다. 따라서 본 발명의 모든 단계를 제 11도에서 도시된 Endura 플랫폼(210)과 같은 다챔버 클러스터 장치에서 이행될 수 없으면 몇 단계라도 이에서 이행하는 것이 바람직하다. Endura 플랫폼은 미합중국 특허 제 5,186,718 호에서 Tepman 등에 의해 기술되며 초기의 다챔버 클러스터 장치는 미합중국 특허 제 4,951,601 호에서 Maydan 등에 의해 더 상세히 기술된다. Endura 플랫폼은 이전에 인용된 특허에서 Ong에 의해서 사용되었다. 상기 3개의 특허들은 여기에 참고로 언급되었다.
독립적으로 동작되는 2개의 로드록 챔버(212, 214)에 의해 웨이퍼가 시스템(210)에 적재되며, 상기 로드록 챔버는 각각의 로드록 챔버에 적재된 웨이퍼 카스트로부터 시스템 안팎으로 웨이퍼를 이송하도록 구성된다. 도시되지 않은 슬릿밸브를 통하여 로드록이 선택적으로 연결될 수 있는 제 1 웨이퍼 이송 챔버(216)의 압력은 대기압 또는 카셋의 약간 더 낮은 압력에서 적절히 낮은 압력까지, 예를 들어 10-3내지 10-5Torr의 범위에서 조절될 수 있다. 제 1 이송 챔버(216) 및 선택된 로드록 챔버(212, 214)를 펌프한 후에, 제 1 이송 챔버(216) 안에 배치된 제 1 로봇(218)이 웨이퍼를 카세트로부터 2개의 웨이퍼 배향기(220, 222) 중의 하나로 이송한 후에 가스제거 배향 챔버(224)로 이송된다. 다음, 제 1 로봇(218)은 웨이퍼를 중간에 배치된 플라즈마 예비세척 챔버(226)로 보내고, 이로부터 제 2 로봇(228)은 바람직하게 10-7Torr 이하, 대체적으로 2×10-8Torr로 상당히 낮은 압력으로 유지되는 제 2 이송 챔버(230)로 웨이퍼를 이송한다. 제 2 로봇(228)은 그 주변부근에 배열된 반응 챔버, 예를 들어 도시되지 않은 슬릿 밸브에 의해 제 2 이송 챔버(230)에 대해 선택적으로 개방되는 2개의 HDP-PVD 챔버(232, 234)와 두 개의 표준 PVD 챔버(236, 238)에 대해 웨이퍼를 선택적으로 이송시킨다. 저압 PVD 처리 후에, 제 2 로봇(228)은 웨이퍼를 중간에 배치된 냉각 챔버(240)로 보내고, 이로부터 제 1 로봇(218)은 웨이퍼를 집어내어 표준 PVD 챔버(242)로 보낸다. PVD 챔버(242)에서는 조절된 두께와 유전 상수를 갖는 TiN층이 웨이퍼상에 증착되고, 이는 제 2 이송 챔버(230) 부근의 PVD 챔버에서 방금 증착된 금속층 상에서 무반사 코팅(ARC)으로 작용한다. ARC층은 반사가 높은 금속층의 포토리소그래피를 촉진시킨다. ARC층 증착 후, 웨이퍼는 2개의 로드록(212, 214)중의 하나에 있는 카셋트로 옮겨진다. 다른 형태의 클러스터 장치 및 관련 챔버가 사용될 수 있다.
전체 시스템은 시스템 제어기(250)에 의해 컴퓨터 조절되며, 이는 퍼스널 컴퓨터, 워크 스테이션, 미니컴퓨터, 또는 상기 시스템, 밸브 및와 통신되는 다른 유사한 디지털 제어 장치, 및 제어 버스를 통한 로봇일 수 있다. 단일체로 설명하였지만 이는 단일 마스터 제어기와 제 10도에서 도시된 챔버 제어기(200)와 같이 여러 챔버와 로봇에 관련된 여러개의 부제어기를 포함할 수 있다. 본 발명의 공정은 프로그램 및 레시피가 통상 방법으로 기록된 플로피 디스크, 광학 CD-ROM, 자기 테입, 또는 다른 유사한 수단과 같은 이동가능한 매체(254)와 같은 적재 수단에 의해 시스템 제어기(250)에 적재된 프로그램과 레스피를 통해 시스템 제어기(250)에 의해 궁극적으로 지시된다. 선택적으로, 적재 수단은 단자에 국부적으로 접속되거나 또는 데이터 링크를 통해 커뮤니케이션 프로토콜에 따라 전송된 프로그램 또는 레시피를 통해 시스템 공급자 또는 관리자의 오피스와 원격적으로 접속되는 커뮤니케이션 링크(256)를 포함한다.
콘택 공정
하부에 놓인 실리콘층에 본 본 발명의 콘택을 형성하는 전형적인 방법은 도 8의 콘택 구조, 도 10의 HDP-PVD 챔버, 및 제 12도의 공정 단계를 동시에 참조하면서 설명될 것이다. 예를 들어, 측방 MOS 트랜지스터와 같이 원하는 실리콘 표면 구조를 형성하기 위해 웨이퍼는 본 발명의 단계가 수행되기 전에 처리된다. 유전층(142)이 실리콘 기판(144) 상에 증착되며, 단계(270)에서 콘택홀(140)이 실리콘(144)에 도달하도록 유전층(142)을 통해 에칭된다. 이들 모든 공정은 공지되어 있고 에칭, CVD(화학적 기상 증착), 포토리소그래피, 포토레지스트 스트립핑, 및다른 공지된 공정을 위한 표준 반도체 처리 장치에서 수행될 수 있다. 콘택홀(140)은 충분히 깊고 폭이 좁아 표준 PVD 처리로 충전되기 어려운 높은 종횡비를 갖는 것으로 가정된다.
제 8 도에서 도시된 구조를 가진 비-PVD 부분의 완성 후, 부분적으로 처리된 웨이퍼(176)는 제 11도의 다챔버 클러스터 장치(210)로 옮겨지며, 이 장치는 공기와 이의 산화 작용에 웨이퍼를 노출시키지 않고 모든 PVD 단계들을 수행할 수 있는 장점을 갖는다. 아래에 설명될 공정은 제 2 이송 챔버(230)와 그 주위의 저압 PVD 영역에 산소 부분압을 10-7Torr보다 훨씬 낮게, 바람직하게 5×10-7Torr 이하로, 또한 가장 바람직하게는 5×10-8Torr 이하로 유지하면서 수행되어야 한다. 산소 채움은 HDP-형성 라이너층의 배리어 기능을 촉진할 수도 있지만, 접착성 질을 낮게 할 것이다. 이미 언급된 바와 같이, 클러스터 장치(210)의 여러개의 챔버에서는 배향, 가스 제거 및 플라즈마 예비 정화를 포함한 웨이퍼(176)의 예비 공정들 뿐만 아니라 PVD 이후 단계인 무반사 코팅 증착도 수행된다. 이러한 단계들은 공지되어 있으며, 본 발명에 직접 관련되지 않기 때문에 여기에서 자세히 설명되지 않을 것이다.
라이너층 증착을 위해, 웨이퍼(176)를 HDP-PVD 반응 챔버의 받침대(174) 위에 놓는다. 티타늄의 내화 금속층 증착을 위해서, PVD 타겟(172)은 티타늄 또는 티타늄 합금으로 구성된다.
라이너층을 위한 제 1 HDP에 스퍼터 단계(262)를 시작하기 위해, HDP-PVD 챔버를 펌프시켜 감소된 압력 하에서 아르곤으로 채운다. 고밀도 아르곤 플라즈마를형성하기 위해 음극과 코일 전원(180, 188)이 턴온되어 웨이퍼(176)의 직류 자기 바이어스를 위해 양극 전원(182)이 턴온된다. 아르곤은 타겟(172)를 스퍼터하며 이때 생긴 티타늄 입자들은 고밀도 플라즈마를 통과하면서 적어도 일부는 이온화된다. 웨이퍼(176)의 자기 바이어스는 이온화된 Ti 입자들이 웨이퍼의 주표면에 대략 수직으로 웨이퍼(176)와 충돌하게하여 높은 종횡비를 가진 콘택홀(160)의 바닥(154)에는 높은 커버리지를 제공하나 측면(152)에는 가소된 커버리지를 제공한다. Ti은 또한 유전층(142)의 평면 상부(158)에 코팅되엉 라이너층(150)의 제 1 서브층(160), 특히 내화 금속층을 형성한다. 통상적인 증착은 20nm 두께를 가진 Ti 의 평면 표면층을 형성하고 1.2㎛ 폭과 5:1 종횡비를 가진 콘택홀(140)의 바닥(154)에는 14nm 두께의 층을 형성한다. 즉, 바닥 커버리지는이 약 70%이다. 다른 Ti 두께도 본 발명과 함께 사용될 수 있다. 구멍의 바닥에는 최소 약 2nm 의 두께가 바람직하다. 50nm 이상의 두께도 사용될 수 있으나, 이는 대체적으로 현행 응용에 대해서는 비경제적인 것으로 보여준다.
라이너층(150)의 Ti 서브층(160) 증착 후, 단계(264)에서 Ti 과 Si 사이의 접합부는 표준 PVD 챔버(236, 238)중 하나 또는 바람직하게 개별 RTP(급속 열 처리) 챔버, 금속 어닐링 챔버(램프 방사 서셉터에 의해 가열), 또는 퍼니스에서 실리사이드화되며, 이들 모두는 Endura 플랫폼에 부착될 필요는 없다. 실리사이드화는 실리콘을 접촉하지 않는 비아에서는 규소화가 요구되지 않는다. 규소화는 약 600℃ 이상에서의 안닐 공정을 포함할 수 있으나 위에 인용된 특허에서 Ngan 등에 의해 발표된 바와 같이 산화하지 않는 질소 분위기에서는 750-850℃가 바람직하다.층 열공정 비용을 최소화하기 위해서는 규소화가 가속 열안닐 RTP 에 의해 이행되는 것이 바람직하다. 규소화는 TiN 서브층이 증착된 후까지 연기될 수 있으나 뜨겁고 질소가 풍족한 규소화 환경은 Ti를 TiN 으로 변화시킬 수 있으므로 상부 Ti 서브층의 증착후까지 지연시켜서는 안된다.
라이너 층을 위한 제 2 HDP에 스퍼터 단계(166)에서, 질소가 동일한 HDP-PVD 챔버로 도입되어 아르곤-질소 혼합물을 형성한다. 스퍼터된 티타늄이 부분적으로 이온화되도록 고밀도 플라즈마가 계속된다. 티타늄은 증착 공정 동안 질소와 반응하고 TiN으로 웨이퍼 위에 증착된다. 통상적인 증착은 80nm 두께의 TiN의 평면 표면층 및 폭이 좁은 콘택홀(140) 바닥에 약 54nm 두께의 층을 형성하여 바닥 커버리지는 68%이다. 홀 바닥에서 최소 TiN 두께는 약 10nm 일 것으로 예상된다. 최고 두께는 여러 요인에 따라 달라진다. 폭이 좁은 구멍에 대해, 금속 충전으로 공간을 위해 최고 두께는 구멍 폭보다 훨씬 작아야한다. 더 평면구조를 가진 것에서는 TiN 층이 두꺼울수록 총 저항력을 증가시킨다. 따라서, 대부분의 상황에서는 200nm 이상의 두께가 비효과적인 것으로 보인다.
라이너 층을 위한 제 3 HDP에 스퍼터링 단계(268)에서, 고밀도 플라즈마가 계속되는 동안, 같은 HDP-PVD 챔버에 질소 공급이 중단되고, 라이너층(150)의 제 3 서브층(164)을 형성하기 위해 다른 티타늄층이 스퍼터 증착된다. 그러나, 질소 환경에서의 티타늄 반응 스퍼터링 단계로부터 순수한 티타늄 스퍼터링 단계로의 변경은 TiN 서브층(162)과의 계면 가까이에서 높은 질소 함유량을 가진 TiNx로부터 다른 측면에 순수 티타늄으로 점차 변화하는 층을 만든다. 전형적인 증착은60%의 바닥 커버리지에 대해 표면위에는 10nm 그리고 콘택 홀의 바닥에 6nm로 증착된다. 이렇게 형성된 층은 약 10% TiN으로 구성되고 제 3 서브층(164)의 바닥에 먼저 형성된다. 많은 경우에 있어서 최소량의 상부 Ti 만이 아마 2-10nm 로 증착될 필요가 있고, 그 두께는 60-100nm로 한정하는 것이 바람직하다는 것을 나타내는 데이터가 나중에 제시될 것이다.
본 발명을 홀 충전에 효과적으로 사용하기 위해서는 모두 3개의 서브층에 대한 바닥 커버리지는 대체로 높아야 한다. 20% 이하의 증착율은 홀을 효과적으로 충전시키지 못하므로 바람직하지 못하다. 100%가 바람직하지만 90% 이상은 그 공정이 충분히 추진되지 않음을 나타낸다.
통상적인 동작동안, 제 3 증착 단계(268) 초기에 질소 공급이 챔버로부터 갑자기 중단된다. 그러나 이전의 TiN 증착단계(266)에서 다량의 질소가 챔버(170)로 주입되고 특히 Ti 타겟(172)과 반응하여 Ti 타겟(172) 상에 TiN 표면을 형성한다. 따라서, 제 3 PVD 단계(268) 초기에 모든 질소가 타겟(172)으로부터 제거될 때까지, 타겟(172)로부터 상당한 양의 TiN이 스퍼터된다. 제 3 증착 단계(268)의 주요 원인은 다음 웨이퍼를 처리할 때, 단계(262)의 Ti 증착은, 질소가 반도체 성능에 악영향을 미칠 수 있기 때문에 실리콘 상에 임의의 질소가 증착되지 않도록 Ti 타겟(162)의 질소를 세척하는 것이다. 제 3 증착 단계(268)의 필요성은 여러 가지 요인에 따라 다르다. 플러그 바닥이 다른 금속과 접촉하여 2개의 금속층을 상호연결하는데 플러그가 사용되는 경우, 타겟 세척의 제 3 증착 단계(268)는 타겟 세척을 요구하지 않는데 이는 가능한 질소 오염은 하부 금속과 플러그 접촉에 크게 영향을미치지 않기 때문이다. 폭이좁은 플러그속으로 알루미늄 리플로우를 촉진하기 위해서, 최소의 질소 결핍 금속 Ti층이 TiN 위에 형성되어야만 한다는 것을 차후의 데이터에서 특히 재료 성분 데이터에서 보여줄 것이다. 모든 플러그에 대해, 특히 넓은 폭의 플러그에 대해, 그리고 평면 증착에 대해 상부 Ti 접착층의 보편적인 필요성은 명시되지 않았다.
점차로 변화는 TiNX 서브층 증착 단계인 상기 단계(268)에서 질소 공급이 갑자기 중단되더라도 TiN에서 Ti 로 변하는 정도를 맞추기 위해서는 질소 공급을 보다 점진적으로 감소시키는 것이 가능하다.
상기 실시예에서 언급된 바와 같이, 라이너층을 형성하기 위한 3개의 HDP-PVD 증착 단계(262, 264, 266)는 가스 조성의 변화와 제어기(200, 250)의 제어하에 있는 전력 레벨의 변화에 의해 세 단계로 구별하여 동일한 HDP-PVD 챔버내에서 수행되는 것이 바람직하나, 다른 서브층 공정을 위한 다른 챔버를 사용하는 것도 가능하다. 이 한정적인 기준이 입증되지 않았더라도 HDP-PVD 공정은 TiN 서브층(162)에 대해서만 중요하다고 여겨지나, 바닥 커버리지가 다른 방법으로 만족스럽게 성취될 수 있으면 상부와 하부의 Ti 서브층(160, 164)에 대해서도 표준 PVD 챔버가 사용될 수 있다. 그러나 플러그 충전을 가장 경제적으로 완성하기 위해서 라이너층(150)의 증착후, 일반적으로 웨이퍼는 알루미늄 또는 0.5% Cu의 Al 합금과 같은 알루미늄 합금으로 구성된 상호연결 금속의 증착을 위해서 종래의 스퍼터링 챔버(136, 238)로 이동된다. 표준 PVD 공정은 고밀도 플라즈마 없이 수행되며 비교적 스퍼터된 입자들을 적게 이온화시킨다. 그러나, 스퍼터링 증착은 비용이 적게드는 장치로 신속하게 수행된다.
알루미늄은 바람직하게 2-단계로 증착되며, 종래의 스퍼터링 장치에서 저온-고온(cold-hot) 스퍼터링 공정은 라이너층의 추가적 어닐링 및 라이너와 알루미늄 증착 사이에 공기 침입없이 수행된다. 두단계 사이에 받침대를 상당히 다르게 가열함으로서 2 단계 모두를 단일 표준 PVD 반응기에서 쉽게 이행할 수 있다. 물론, 2단계에 다른 PVD 반응기들이 사용될 수 있다.
제 1 Al 스퍼터링 단계(270)에서, 130℃ 이하의 온도로 유지된 기판의 라이너층(150) 위에 약 200nm 의 알루미늄이 스퍼터링 증착된다. 저온 스퍼터링는 알갱이를 만들지(beading) 않고 상부 Ti 층(164)에 잘 접착되는 시드층을 형성한다. 제 2 Al 스퍼터링 단계(272)에서, 기판이 더 높은 온도로 유지되면서 저온 스퍼터된 층 위에 알루미늄의 대부분이 스퍼터 증착된다. 고온 스퍼터를 위해 선택된 온도는 더 낮은 온도에서의 더 긴 리플로우 시간과 대체된 것이다. 상세한 데이터는 나중에 제시될 것이나 고온 스퍼터 온도라도 470℃ 이상은 요구되지 않으며, 최소 350℃의 낮은 온도에서의 고온 스퍼터도 상당히 짧은 증착 시간이나 리플로우 어닐링을 제공한다는 것을 알 수 있다. 고온 증착된 금속층의 전형적인 두께는 평면위에 800nm 으로 1.2㎛ 깊이의 플러그(140)를 충전하고 그 위에 평면을 평탄화하기에 충분하나 다른 두께도 가능하다.
주목할 것은 언급된 라이너 층의 3단계 PVD 증착에서, TiN 및 TiNx 서브층은 산소 부분압에 대해 TiN의 효과적인 산화 온도 이하의 온도에서 수행되는 연속적인 공정 시퀀스에서 증측되며 실제로는 300℃ 이하의 온도에서 수행될 수 있다는 것이다. 더욱이, 그 주위 환경은 알루미늄 증착이 끝날 때까지 산소가 없게 유지되어야 한다. 따라서, TiN층은 산화될 기회가 없고 접착성을 손실할 뿐만 아니라, 알루미늄층 조차에도 산화되지 않는다.
공정 실시예 1
HDP-PVD 공정에서 부분적으로 이온화된 티타늄의 증착 속도인 120nm/분은 200nm 웨이퍼에 대해 상기 챔버에서 다음 파라메터로 달성될 수 있다. 코일 RF 전원(188)은 2MHz의 주파수와 유도 코일(186)에 결합된 1.5kW의 RF 전력을 갖는다. DC 전원(180)은 5kW 의 DC 전력을 티타늄 타겟 음극(172)에 제고한다. 웨이퍼 바이어스 전원(182)은 350W 의 전력을 받침대 양극(174)에 공급하기 위해 350kHz에서 작동하여, 웨이퍼(176)상에 45V의 DC 자기바이어스를 제공한다. 챔버 압력은 20-30mTorr의 아르곤으로 유지되고 웨이퍼 온도는 약 50℃이다(실리사이드화는 별도의 단계에서 수행되었다). 평면 표면상에서 120nm/분의 Ti 증착 속도를 얻기 위해, 상기 압력은 약 45sccm(분당 표준 입방 센티메터, 즉 기체가 760Torr 의 압력 및 0℃ 온도에 있다면 발생할 cc의 부피 유출량 속도에 해당하는 질량 유출 속도)의 아르곤 주입 속도에 해당하는 20mTorr로 유지시켰다.
공정 실시예 2
이온화된 Ti을 사용하여 반응성 스퍼터링된 TiN 증착속도인 30nm/분은 200nm 웨이퍼를 위해 동일한 챔버에서 같은 주파수를 가진 RF 전원으로 다음과 같은 조건하에서 얻었다. 코일(186)에는 1.5kW의 RF 전력이 제공되고, 타겟 음극(172)에는 5kW의 DC 전력이 제공되며, 받침대 양극(174)은 90W의 전력이 제공되어,웨이퍼(176)에 70V의 DC 자기 바이어스가 제공된다. 동일한 압력 범위가 사용될 수가 있으며 기판 온도는 똑같이 50℃로 만들었다. 평면 표면에서 300nm/분의 TiN 증착 속도를 얻기 위해서, 압력은 45seem의 아르곤 주입속도 및 70secm의 질소 주입 속도로 형성되는, 30mTorr로 유지시켰다.
라이너 공정 범위
높은 종횡비를 가진 플러그 홀, 특히 0.35㎛의 직경과 3.5:1의 종횡비를 가진 플러그의 코팅을 증대할 수 있는 보다 양호한 공정 파라미터를 결정하기 위해서, 챔버의 압력과 전원(180,182,188)으부터 나오는 전력 수준을 변화시키면서 일련의 실험을 수행하였다. 플러그 홀은 약 1.2㎛의 두께를 가진 실리카층에 형성된다. 그러나 이 실험에 사용된 실리카층은 현재 상업용으로 사용되는 방법인 실리콘, 금속, 또는 다른 재료로된 기판위에 PECVD에 의한 SiO2증착 대신에 하부에 놓인 실리콘 열산화로 형성된다. 이 공정은 PECVD-SiO2를 위해 별도로 최적화되어야 하지만, 그 결과는 열 SiO2의 경우와 비슷할 것으로 예상된다.
데이터는 제 13도의 그래프에서 도시된다. 횡축은 코일(186)에 공급된 RF 전력을 타겟 음극(172)에 전달된 DC 전력으로 나누고 받침대 음극(176)에 발생한 DC 자기 바이어스를 곱하여 얻은 비율과 동일한 전력 파라미터에 대해 표준화된 것이다. 플러그 홀의 바닥에 증착된 층의 두께와 플러그 홀의 상부 주위에 있는 평면 두께의 비인 바닥 커버리지의 백분률이 종축을 따라 도시되었다. 플러그 홀 전체에 걸쳐 연장되는 라이너층의 신속한 형성을 위해서는 높은 바닥 커버리지가 바람직하다.
한 세트의 실험에서, 5-10mTorr의 아르곤 압력하에서 Ti의 HDP-PVD 증착에 대한 전력을 변화시켰다. 데이터는 삼각형으로 표시되고 일반적으로 연결 트레이스(280)를 따른다. 이 데이터는 바닥 커버리지가 낮다는 것 외에는 전혀 다른 특별한 경향을 보여주지 않는다.
두 번째 시트의 실험에서는 아르곤 압력을 20mTorr로 증가시켰다. 표준화된 전력에 따른 바닥 커버리지의 변화는 원형으로 도시되며 일반적으로 연결 트레이스(282)를 따른다. 일반적으로 바닥 커버지리는 표준화된 전력에 따라 증가하고 10-15V의 표준화된 전력 파라메터는 상업용으로 가능한 임계치로 해석될 수 있다.
세 번째 세트의 실험에서는 TiN이 반응적으로 스퍼터링된다. 챔버 압력은 아르곤과 질소의 주입 속도를 각각 10sccm 및 70sccm 값으로 유지시켜 30mTorr로 유지하였다. 데이터는 정사각형으로 도시되며 일반적으로 연결 트레이스(284)를 따른다. 이 연결 트레이스(284)는 표준화된 전력 15V에서 기파르게 꺽이고 그 이상에서는 바닥 커버리지가 아주 높은 값으로 보여주지만, 10V 이상의 표준화된 전력에서 만족스러운 값들을 주었다.
플라즈마 밀도를 증가시키는 코일에 전달된 높은 RF 전력으로 인한 효과적인 바닥 충전과는 별개라 하더라도, 증가된 바닥 커버리지는 증착된 라이너층의 향상된 평탄함을 수반하며 이는 알루미늄을 예상외로 쉽게, 즉 더 낮은 온도에서 플러그 홀의 벽을 넘어 흐르게 하여 보이드를 형성하지 않고 신속하게 구멍을 충전한다. 표면을 정화하고 평형에 도달시키기 위해, 일부 형성된 표면위에 10eV 근방의 에너지로 충돌하는 이온화된 입자들로 인한 라이너층의 평탄함을 나타내는 것으로 믿어진다. 따라서 본 발명의 라이너층은 상호연결부에서의 전자이동도에 대해 증가된 저항력을 제공할 것으로 여겨진다.
상부 및 하부 티타늄층
콘택이 실리콘에 제조될 때, 라이너층에 있는 하부 티타늄층의 주요목적은, 하부에 놓인 실리콘의 실리사이드화를 위한 재료 소스를 제공하여 양호한 저항 콘택을 형성하는데 있다. 한편, 예를 들어 상부 유전층에서의 2층의 배선을 연결하는 비아를 통하여 금속에 콘택이 만들어지는 경우는, 티타늄층은 필요없다. 마찬가지로 점차 변화하는 상부 TiNX 서브층은 다음 웨이퍼의 티타늄 증착을 준비하는데 있어 질소가 있는 스퍼터 타겟을 세척하기 위해 주로 요구된다. 따라서 TiN 서브층만으로 홀 충전을 개선시킬 수 있다면 바닥이 금속을 접촉하는 경우에는 점차 변화하는 TiNX 층이 필요없다. 층간 금속 콘택을 수반하는 일부 금속 및 구조적 조합에 대해 그리고 일부 평면형 구조에 대해, 라이너층은 차후 알루미늄의 증착을 위해 평탄한 표면을 제공하기 위해 본 발명에 따라 성장된 TiN 서브층만이 요구된다.
일관성 대 HDP TiN
유사한 플러그 코팅 특성을 제공하는 라이너층의 주요 부분인 HDP TiN 대 일관성(시준된) TiN의 장점을 나타내는 실험을 수행하였다. 일관성 TiN은 2:1 콘택홀을 충전하도록 설계된 챔버에 반응적으로 스퍼터링된다. 일관성 TiN막은 타겟 음극에 가해진 12kW의 DC 전력으로 300초 동안 증착되었다. 기판은 열 산화물 코팅을포함하며 300℃로 유지된다. HDP TiN 막은 -70V의 DC 자기 바이어스를 산출하도록 타겟에 인가된 5kW의 DC 전력 및 코일에 인가된 1.5kW의 RF 전력, 및 받침대에 인가된 90W의 RF 전력을 사용하여 HDP-PVD 챔버에 반응적으로 스퍼터된다. 받침대는 25℃로 유지된다.
TiN 증착 후에(점차로 변화하는 TiNx층이 없음), 웨이퍼는 표준 알루미늄 스퍼터링 챔버로 이동되어, 300℃의 받침대 온도로 10kW의 DC 전력을 사용하여 36초 동안 0.5% 구리를 갖는 알루미늄 합금을 300nm 두께로 증착하였다. 일관성 증착된 웨이퍼의 경우에는 2시간의 에어 브레이크(air break)가 있었으나, HDP 증착된 견본의 경우에는 에어 브레이크가 전혀 없었다.
육안으로 검사해 볼 때 HDP-TiN, 상에 증착된 알루미늄은 일관성 TiN 상에 증착된 것보다 광택 표면을 갖는다는 것을 알 수 있다. 투과 전자 현미경 사진은 HDP-TiN 위에서 성장된 알루미늄 그레인이 일관성 TiN 상에 성장된 것보다 약 3배 크다는 것을 알 수 있다. HDP-TiN을 갖는 견본의 X-선 회절은 30-60°범위에서 약 38.7°에서 단일 피크를 보여주었고, 이 피크는 Al <111> 배열에 해당하며, 이 피크의 신호 대 잡음비는 70:1이다. 반대로, 일관성 TiN을 가진 견본의 X-선 회절은 약 20:1 의 신호 대 잡음비를 가진 동일한 38.7°피크와 주 피크의 약 15%인 피크 높이를 가진 더 작은 피크를 36.8°에서 나타낸다. HDP-TiN을 갖는 하부에 놓인 견본의 경우에 제 2 차 피크는 명백하지 않다.
X-선 로킹곡선이 제 14도의 그래프에 도시된다. 로킹곡선(290)은 HDP-TiN 층을 포함하는 표본의 Al <111> 피크에 대한 것이고, 로킹곡선(292)은 일관성 TiN 층을 포함하는 표본의 동일한 피크에 대한 것이다. 이 로킹 곡선들은 일관성 TiN 상에서 성장되는 알루미늄은 임의의 결정 배열을 갖는 반면에 HDP TiN 상에서 성장되는 알루미늄은 평면에 수직인 <111> 배열 배향됨음을 보여준다.
이 데이터는 HDP TiN에 증착된 알루미늄이 시준된 TiN 위에 증착된 알루미늄보다 더 커다란 그레인 구조 및 보다 큰 배향성을 갖는다는 것을 보여준다. 위에서 설명한 바같이, 균일하게 큰 TiN 결정체와 향상된 결정배열은 전자이동도를 감소시킨다.
상부 티타늄 공정 범위
특히 HDP-PVD에 의해 성장될 때 조밀하고 평탄한 결정 구조를 나타내는 TiN 층은, 알루미늄 증착 이후 기본 특성을 유도하는 것으로 보인다. 그러나, TiN 과 Al 사이에 삽입되는 티타늄은 중요한 효과를 나타낸다. 점차로 변화하는 TiNx층의 상부에서는 거의 순수한 티타늄이 얻어지나, 특정한 티타늄 스퍼터 공정이 질소를 포함하지 않고 수행되는 것과 유사한 효과를 얻을 수 있다.
티타늄은 그상부에 증착되는 알루미늄을 위해 알려진 접착성 및 결합기능을 제공한다. 따라서 상당량의 티타늄이 바람직한 것으로 여겨지지만, 이를 상부면에서 순수한 형태(TiNX, x=0)로 있을 필요성은 본 명세서에서 명백하게 제시되지는 않았다. 과도한 두께의 티타늄은 티타늄 위에 증착된 알루미늄의 리플로우를 촉진하는 TiN 층의 결정구조 효과를 감소시키는 것으로 여겨진다. 플러그 충전 분야에 있어, 너무 두꺼운 티타늄층은 플러그 홀을 가릴 가능성이 있는 TiAl3의 오버행을만드는 것으로 관찰되었다. 위에서 제시된 X-선 데이터는 Ti나 TiNx 층은 없고 HDP TiN 층만을 가진 평평한 표본 위에서 측정되었다. 하지만 플러그가 없는 것은 리플로우가 요구되지 않음을 의미한다.
구성이 변화하는 TiNx 층의 두께, 어떤 경우에는 고온 증착 온도, 그리고 플러그 종횡비를 변화시키면서 일련의 실험을 수행하였다.
이 실험들을 위한 전형적인 공정 파라미터는 다음과 같다. 플러그는 열적 실리콘 산화에 형성되고 2:1-4:1 범위의 종횡비를 갖는다. 구조물은 는 하부 20nm 두께의 Ti층, 600nm 두께의 TiN 층, 100nm 두께의 구성이 변화하는 TiNx 층을 포함한다. 이렇게 형성된 라이너층은 통상의 PVD 장치로 200nm의 저온 알루미늄과 800nm의 고온 알루미늄으로 스퍼터 코팅된다.
고온 알루미늄 증착을 위해 450℃의 가열기 온도에서, 충전 특성은 얇은 10nm 두께의 구성변화 층 형성에 특히 바람직하다는 것을 SEMS으로부터 명백하게 볼 수 있다. 더 두꺼운 60nm은 TiAl3를 형성하기 위해 그위에 증착된 알루미늄과 반응하며, 그의 거친 그레인 구조는 플러그속으로의 알루미늄 흐름을 방지한다. 535℃의 높은 온도에서, 두꺼운 TiNx 변화층은 시드층(저온 증착 알루미늄층)의 비접착성을 방지하는 경향이 있기 때문에 약간 더 좋은 작용을 한다. 본 발명은 주로 더 낮은 알루미늄 증착과 리플로우 온도에 의해 개발되었기 때문에, 535℃에서 두꺼운 변화층의 좋은 성능은 둘째로 중요시된다.
다른 세트의 실험들은 10nm 두께의 TiNx 변화층 상부에서 450℃의 리플로우와 TiNx층을 사용하지 않는, 즉 상부 Ti 접착층이 없는 리플로우를 비교하였다. 10nm의 TiNx가 리플로우를 현저하게 향상시켰음을 SEMS을 통해 알 수 있었다.
마지막 결론으로는 상부 티타늄 접착층은 평면 증착에는 요구되지 않지만 좁은 플러그 충전에 필요하고, 적어도 위에서 제시한 처리 파라미터 범위내에서는 두께가 60nm 이하이어야만 한다. 제시된 두께는 TiNx 변화층에 대한 것으로 이는 TiNx의 대부분은 순수 Ti 이기 때문이다. Ti 두께의 최소 범위는 거의 종횡비 및 다른 공정 파라미터에 따라 좌우된다. 적어도 예시적인 데이터의 공정 파라미터에 대해, 두께가 10nm 이상의 두께가 요구되며 상기 10nm의 두께는 사용되는 공정 파라미터에 대해 바람직하다.
알루미늄 공정 범위
유전층을 관통하는 플러그 홀에서 라이너층의 콘포멀한 증착은 종래의 종횡비보다 높은 종횡비를 갖는 플러그 홀을 제공한다. 라이너층이 나중에 증착되는 금속의 플러그 충전을 촉진시킨다 하더라도, 다양한 분야에 있어 플러그를 적절한 시간내에, 실용적으로 낮은 온도에서 보이드 없이 충전하기 하는 것이 요구되는 경우 금속화의 증착 파라미터는 중요하다. 앞서 언급된 것처럼, 바라직한 금속화는 2단계 고온-저온 스퍼터 공정을 포함한다. 고온-저온 스퍼터 공정 자체는 공지되어 있다. 이전에 인용된 특허에서 Ong은 50-150℃에서 저온 증착 및 550℃의 고온 증착을 제안하였다. 미합중국 특허 5,108,570에서 Wang은 50℃-250℃에서의 저온 증착과 고온 증착 온도로는 400℃를 넘지 않을 것을 제안하였다. Wang은 고온 증착을 위한 최저 온도에 대한 데이터를 제공하지 않았고 400℃보다 훨씬 낮은 온도에서수행될 수 있다는 것을 제안하지 않았다. 그러나, 본 발명자는 특히 단시간 PVD 증착 시간이 요구되는 경우 라이너층이 상당히 낮은 온도에서 수행되는 저온 스퍼터링을 허용한다는 것을 발견하였다.
높은 종횡비를 가진 플러그 홀속에 표준 PVD 에 의한 알루미늄 증착에 있어 다른 일련의 실험들을 수행하였다. 이 실험에서 유전층은 열 산화물, 즉 표면이 이산화 실리콘으로 산화되어지게 실리콘을 산소 환경에서 고온으로 유지시켰다. 반대로, 상업용 제조에서는 플라즈마 강화 CVD나 나중에 경화되는 스핀-온(spin-on)유리에 의해 증착된 산화물도 사용한다. 이들 재료 각각에 대한 알루미늄 공정 범위를 결정하기 위해서는 별도의 실험 세트가 요구될 것이다.
제 15도의 체크된 구간(300)은 높은 종횡비 플러그를 충전하는데 종래 기술 공정이 적용될 수 있는 공정 범위를 제시한다. 특히, TiN 라이너층은 시준된 PVD에 의해 증착되고 알루미늄은 그 위에 저온-고온 PVD 공정으로 증착된다. 종축은 알루미늄의 고온 스퍼터 증착시 기판 온도를 나타내고 횡축은 증착시 또는 그다음의 플러그를 효과적으로 충전하기 위한 독립적인 리플로우 공정시 높은 온도로 유지되어야 할 시간을 나타낸다. 도시된 바와같이 430℃ 또는 그 이하에서 리플로우 시간은 3.5분 이상 지속되어야 하며 이 시간은 고수율 PVD에 대해서 너무 지나친 것으로 간주된다.
반대로, 대각선으로 줄 친 구간(302)은 라이너층과 저온 Al 시드층으로 예비-코팅된 플러그 홀을 알루미늄으로 충전하는 본 발명의 공정을 표시한다. 데이터는 직경이 0.25㎛이고 종횡비가 5인 홀에 200nm의 알루미늄 저온 증착 후 800nm의 알루미늄 고온 증착한 것을 바탕으로 한다. 스퍼터링은 0.5-2mTorr 범위에 있는 아르곤 압력을 사용하여 표준 PVD 챔버에서 수행되었다. 타겟의 DC 전력은 주어진 시간에 800nm의 고온 알루미늄을 증착하도록 조절되었고, 타겟 대 웨이퍼의 간격은 저온 및 고온 증착 사이에 유지되었다. 데이터는 홀의 다른 기하구조, 금속층의 두께, 및 다른 공정 파라미터에 대해 약간 다를 수도 있다. 비접착성 온도가 약 250℃이므로 저온 증착을 200℃ 이하에서 수행하는 것이 바람직하다. 다시, 도해된 온도는 고온 증착시 기판 온도이고 시간은 고온 증착 시간이다.
본 발명의 공정은 더 낮은 온도와 짧은 증착 및 리플로우 시간에 유용한 것을 보여준다. 특히, 매우 짧은 증착 시간은 470℃에서, 심지어 430℃에서 얻어진다. 390℃ 또는 350℃에서의 고온 증착의 경우에, 리플로우 시간은 4분 이하이다. 약 350℃에서, 리플로우 시간은 6분으로 여전히 제어가 용이하다. 고온 증착을 위한 바람직한 온도 범위는 350-400℃ 이나 320 또는 300℃ 까지의 낮은 기판 온도도 더 낮은 온도의 최적화된 공정범위로 상상해 볼 수 있다.
이들 데이터는 비교적 낮은 온도에서 증착된 초기 알루미늄층의 장점을 보여준다. 이러한 층이, 본 발명의 라이너 층 상에 증착되는 경우 평탄하여 리플로우를 촉진시킨다. 그후에 고온 알루미늄 증착은 저온 알루미늄층과 라이너층 사이의 계면을 교란시키지 않고 알루미늄이 플로우할 수 있게 한다. 따라서, 비교적 높은 온도에서도 알루미늄의 비접착성이 없어 크게 뭉쳐지지 않는다.
층간 비아
위의 기술은 대부분 하부에 놓인 실리콘에 콘택을 충전하는 것에 해당한다.2개의 금속층 사이에 있는 비아의 경우, 하부에 놓인 실리콘을 손상시키는 문제점이 없다. 그럼에도 불구하고, 본 발명의 여러면이 동등하게 비아에 적용될 수 있다.
충전되기 이전의 전형적인 비아 구조가 제 16도의 단면에 도시된다. 예를 들어, 알루미늄의 패턴화된 층간 금속층(310)(여기에서 금속-1층이라고 불리움)은 포토리소그래피 패터닝 동안에 금속층(310)으로부터의 과잉 반사를 방지하는데 유용한 TiN의 무반사 코팅(ARC)(312)으로 덮인다. 그위에, 예를 들어, SiO2의 층간 유전층(314)이 증착된다. 금속층(310)은 균일한 두께로 도시되었다 하더라도, 많은 구역에서는 유전층(314)이 하부 유전층(316)과 직접 접촉하도록 선형적으로 연장되는 많은 상호연결부을 포함하는 배선층으로 미리 패턴화된다는 것을 알 것이다. 그러나 비아는 금속층(310)의 나머지 부분 위에 형성될 수 있다. 상부 레벨 유전층(314)위에 포토레지스트층(318)이 증착되고, 이 층은 비아 영역(320)을 노출시키기 위해 현상된다. 층간 레벨 유전층(314)은 비아 영역(320)에서 에칭되고, 포토레지스트층(318)은 이후 스트립된다. 가끔, TiN ARC층(312)은 유전체 에칭을 통해 에칭되나, 어떤때는 이를 플라즈마 예비세정으로 제거되도록 그냥 둘 때도 있다. 어느 경우든지 얇은 Al2O3층(322)과 포토레지스트층(318)을 벗길 때 생긴 다른 부스러기는 비아 홀(320) 바닥에 보통 형성된다. Al2O3절연층(322)을 제거하기 위해서 부스러기를 없애는 예비세정 이외에 추가 단계가 요구되지 않는 것으로 여겨지지만, 플라즈마 세정에 의한 안정한 Al2O3절연층(322) 제거에는 문제점이 있다.비아(318)의 바닥에 양호한 콘택을 보장하기 위해서, Ti 층이 증착될 수 있다. 티타늄은 하부에 놓인 알루미늄과 맹렬하게 반응하여 도전성 TiAl3을 형성하여 층간 Al2O3절연층(322)이 돌파된다. 그러므로 라이너층(150)의 하부 Ti 서브층(160)은 하부-레벨 금속층(310)의 실리사이드화가 요구되지 않지만 여전히 어떤 경우에는 하부에 놓인 금속층에 양호한 콘택을 확실하게 하기 위해 필요하다.
따라서, 바람직하게 충전된 비아 구조는 제 17도의 단면에서 도시되고 이 형성의 주요단계들은 제 18도의 흐름도에서 도시되어 있다. 단계(330)에서 제 16도의 비아 홀(320)이 상부 유전층(314)과 ARC 층(322)을 통해 에칭된다. 이 단계는 플라즈마 예비세정을 포함할 수 있다. 층간-레벨 비아를 사용하여, ong의 특허에서와 같이 에칭 및 예비세정 공정에 의해 비아홀(320)의 상부 모서리를 절단하는 것이 바람직하다. 에너지가 높은 플라즈마 입자들은 노출된 실리콘을 손상시킬 수 있기 때문에, 이러한 절단은 콘택에 사용되지 않는다.
단계(262, 266, 268)에서, 제 8도의 콘택(140)에서처럼 라이너층(150)을 증착하는데 HDP-PVD 공정이 사용된다. 라이너층(150)은 비아 홀(320)의 내부 및 유전층(314)의 상부 표면(332)을 코팅한다. 라이너층(150)은 세 개의 Ti, TiN, TiNx 서브층(160, 162, 164)을 포함한다. HDP-PVD 공정은 평탄하고 조밀하며 결정상 배열된 라이너층(150)의 형성을 보장한다.
알루미늄 증착 온도인 400℃는 하부에 놓인 티타늄과 합금하여 TiAl3을 형성하기에 충분한 온도이기 때문에, 비아에는 별도의 실리사이드화가 이행되지 않는다. 단계(270, 272)에서는 제 8도의 콘택(140)에서 처럼, 고온 상부 금속층(금속-2)(334)이 통로(320)에 그리고 유전층(314) 상에 증착된다. 2단계 공정은 초기 저온 표준 PVD 공정과 차후 고온 표준 PVD 공정을 포함한다. 단계(336)에서 ARC 층(338)은 상부 금속층(334)위에 증착되어 상호연결부에 포토리소그래피 방식으로 용이하게 설계된다.
몇몇 경우에서는, 최하부 HDP TiN 층이 필요한 전기접촉을 제공한다 하더라도, 그의 배리어 기능을 위해서 산소로 채워질 필요가 없고 티타늄은 실리사이드화될 필요가 없으므로 라이너층의 삼층 구조는 배열이 잘된 결정체로 된 평탄한 라이너층의 장점을 제공한다. 게다가, TiN 라이너층은 실리카층(314)에 포착된 수분이 비아(320)에 충전된 알루미늄(334)으로 이동하는 것을 방지한다. 상기 데이터는 라이너층에 있는 상부 Ti 서브층은 최소 두께가 필요하다는 것을 나타내지만, 리플로우를 위해서 상부 Ti 서브층이 요구되지 않는 공정 범위가 사용되는 경우, 상부 Ti 서브층의 질소 세정 기능은 층간 비아를 위해 필요하지 않아 생략될 수 있다.
따라서 본 발명은 폭이좁은 구멍을 금속으로 스퍼터 충전하는데 효과적이며 경제적인 방법을 제공한다. 위에서 제시된 기술적인 데이터는 다음 특허청구에서 정의된 여러 의미로 해석될 수 있으며 제시된 특정한 실시예만 한정되지는 않는다.
실시예들은 주로 대칭적인 콘택 및 비아에 관한 것이나 본 발명은 이에 제한되지 않는다. 같은 개념들이 콘택 또는 비아 트렌치에 적용될 수 있고 트렌치의 폭은 종횡비를 결정한다.
제 19도에서 정사도로 도시된 듀얼 다마신 구조로 지칭되는 이상적인 구조는플러그와 상호연결부를 조합한다. 듀얼 포토리소그래피 공정은 유전층(342) 상부를 따라 측면으로 연장되는 트렌치(340)와 트렌치(340) 바닥에 있는 상부 개구부(346)로부터 도시되지 않은 실리콘 기판이나 하부 상호연결 레벨 부근의 유전층(342) 바닥에 있는 하부 개구부(348)로 연장되는 플러그(344)를 모두 형성한다. 본 발명의 단일 단계의 충전 공정은 플러그(344)와 트렌치(340)를 금속으로 충전하는데 사용될 수 있다. 트렌치(340)에 충전된 금속은 상호연결부로 사용되고 플러그(344)에 충전된 금속은 수직 층간 연결부로 사용된다. 이러한 구조는 상호연결부의 고밀도 형성을 가능하게 한다. 또한 금속 리소그래피가 필요없고, 유전층(342)의 패턴화되지 않은 부분을 노출시키기 위해 상부 면의 화학적 기계적 연마와 같은 평탄화만이 요구된다. 그러나 트렌치와 하부에 있는 플러그가 동시에 충전되어야 할 필요가 있기 때문에, 듀얼 다마신 구조는 충전하기에 매우 높은 종횡비를 나타낸다. 본 발명은 폭이 좁고 깊은 홀의 충전에 관한 것이다.
본 발명은 유전층을 통하여 일부분만 연장되는 DRAM의 트렌치와 같은 다른 구멍 구조에도 적용될 수 있다. 본 발명의 여러 고안들은 좁은 구멍에 크게 좌우되지 않는 평평한 구조에도 적용될 수 있다.
상호 연결
콘택과 비아 충전에 대한 상기 설명에서는 본 발명의 플러그 충전은 충전된 플러그 상의 상호연결부를 위한 금속층의 증착과 통합될 수 있다는 것을 강조하였다. 실제로, 제 14도를 참조하여 논의된 X-선 회절 데이터는 본 발명의 라이너층 위에 형성된 금속 상호연결부, 특히 알루미늄의 금속 상호연결부는 크고 균일한 그레인 크기 및 금속 결정체의 높은 결정상 배열로 인해 우수한 전기이동도 특성을 보여준다. 그러나 폭이 좁은 플러그 충전을 요구하지 않는 몇몇 경우 라이너층의 세 개의 서브층이 모두다 필요하지는 않다. X-선 회절 데이터는 알루미늄이 TiN 층위에 직접 증착된 견본으로 측정되었다. 또한 하부 Ti 서브층은 작은 도전성 플러그를 충전하는데 가장 중요한 것이 명백하다.
따라서 본 발명의 상호연결부 구조는 제 20도와 제 21도의 단면에서 보여주고 가장 중요한 제조 단계들은 제 22도의 흐름도에서 보여준다. 단계(350)에서 HDP-PVD 공정은 예를 들어 SiO2와 같은 유전층(354)위에 라이너층(352)를 증착하는데 사용된다. 라이너층(352)은 제 8도의 콘택(140)에 있는 TiN 서브층과 유사한 TiN 서브층을 적어도 하나 포함한다. HDP-PVD 공정에 의한 상기 층의 형성은 X-선 회절 실험에서 보여준 바와같이 평탄한 표면, 큰 결정체, 그리고 잘 배열된 결정을 준다. 라이너층(352)은 TiN 서브층 하부에 있는 하부 Ti 서브층이나 TiN 서브층, 상부에 있는 상부 TiNx 서브층, 또는 이 2개의 서브층 모두를 추가로 포함하나 콘택에 이들을 꼭 포함할 필요가 있는지는 알려져 있지 않다.
단계(356)에서는 금속층(358), 예를들어 알루미늄으로된 금속층이 라이너층(352)위에 증착된다. 홀 충전과는 달리, 평면 증착은 필요한 경우 표준 PVD에 의해 단일-온도 단계로 수행될 수 있어 중요하지 않다. 단계(360)에서 무반사 코팅(362)이 금속층(358)상에 증착되고, 단계(364)에서는 포토레지스트 재료의 포토리소그래피 마스크(366)가 의도된 상호연결부 위에 증착되고 패턴화된다. 제21도를 참조하면, 단계(368)에서 상기 구조는 상호연결부(370)는 남겨두고 마스크(366) 부근에서 에칭되는 반면, 라이너층(352) 상에 상호연결부 형성은 정확히 배향된 <111> 결정 구조를 갖는 균일하고 큰 그레인을 갖는다.
대안
본 발명의 현재 가장 중요한 응용은 알루미늄으로 플러그 홀을 충전하고 상호연결부를 형성하는데 있지만, 본 발명은 여기에만 국한되지는 않는다. 알루미늄은 예를 들어 최고 10wt %까지 다른 금속과 합금된 것일 수 있다. 이러한 합금의 예들 로는 알루미늄-구리, 알루미늄-구리-실리콘, 알루미늄-실리콘, 알루미늄-게르마늄, 및 알루미늄-팔라듐-실리콘이 있다. Cu 및 이들의 합금 및 실리사이드와과 같은 다른 금속들도 콘택과 상호연결부로 고려되었고, 이들은 Al 콘택 및 상호연결부와 같은 제한이 있으므로 본 발명의 혜택을 비슷하게 받을 수 있다.
예로서 절연층은 이산화 실리콘이지만 본 발명은 이것에 한정되지 않는다. Si3N4와 같은 다른 무기 화학 절연물은 현재 필드 절연물로 흔히 사용되고 있고, 유기 화학물을 포함한 다른 절연물도 본 발명이 유리하게 적용될 수 있는 절연층으로 사용될 수 있다.
언급된 실시예들의 Ti층은 접착성 및 실리사이드화에 모두 사용된다. Co와 같은 다른 내화금속도 이러한 기능을 위해 또한 사용될 수 있으므로 본 발명에 포함된다. 몇몇 연구 그룹들이 CoSi을 저항 접촉 재료로서 연구조사하고 있다. 내화 금속은 2개의 내화금속이 화학량에 의한 양으로 구성된 금속간 합금일 수 있다.
본 발명에 사용되는 바람직한 TiN은 양호한 도전율과 아주 평평한 표면을 가진 금속 화합물이다. TiN 층은 여러 가지 이로운 결과를 제공하지만 특히 Cu가 상호연결 금속으로 사용되면 TaN과 같은 다른 내화성 도전 질화물로 대체될 수 있다. Ti와 Ta 이외의 이들의 원소의 형태 또는 질화물 형태로 사용될 수 있는 다른 내화성 금속들로는 W와 Ni가 있다.
그러므로 본 발명은 높은 종횡비를 가진 구멍의 효과적인 충전과 우수한 결정질을 가진 금속층의 증착 방법을 제공한다. 좁은 구멍을 금속으로 충전하는 공정은 금속층의 증착과 함께 동시에 수행될 수 있고 이 금속층은 나중에 전기이동도를 저항하는 상호연결부로 구성된다. 그럼에도 불구하고 이 공정은 저비용 장비로 수행될 수 있는 반면 전반적으로 높은 증착율을 보여주므로 저렴한 가격으로도 고수율을 성취할 수 있다.
제 1 도는 반도체 집적회로의 유전층을 관통하여 연장되는 콘택 또는 비아 홀의 개략적 단면도.
제 2 도는 도 1의 콘택 또는 비아가 스퍼터된 금속이 부분적으로 증착된 후의 개략적 단면도.
제 3도는 보이드가 형성된 부적당한 증착이 이루어진 콘택 또는 비아의 단면도.
제 4도는 콘택 또는 비아를 충전하고 표면을 평탄화시키기 위해 금속이 리플로우된 콘택 또는 비아의 개략적 단면도.
제 5도는 홀을 충전하는 종래 기술의 프로세스의 흐름도.
제 6도 및 제 7도는 전기이동으로 인한 상호접속부 및 그의 실패 모드를 개략적으로 나타내는 정사도.
제 8도는 본 발명의 라이너층 증착 후에 이를 금속으로 충전한 콘택의 개략적 단면도.
제 9도는 고밀도 플라즈마의 압력에 따른 기저부 커버리지의 관계를 나타내는 그래프.
제 10도는 고밀도 플라즈마(HDP)로 물리적 기상 증착(PVD)을 수행할 수 있는 반응 챔버의 개략적 입면도.
제 11도는 본 발명을 수행할 수 있는 멀티-챔버 클러스터 장치의 개략적 평면도.
제 12도는 본 발명의 프로세스 실시예의 흐름도.
제 13도는 전력 레벨 및 압력에 따른 라이너층의 증착을 위한 프로세스 범위를 보여주는 그래프.
제 14도는 본 발명에 따라 형성된 TiN층 상에 증착된 알루미늄층의 결정성을 보여주는 X-선 로킹 커브를 보여주는 그래프이다.
제 15도는 본 발명의 라이너층을 가진 플러그에 알루미늄의 표준 PVD 증착을 위한 프로세스 범위를 보여주는 그래프.
제 16도는 비아 홀의 단면도.
제 17도는 본 발명에 따른 금속화 이후 비아 홀의 단면도.
제 18도는 본 발명 따른 비아를 충전하는 기본 단계의 흐름도.
제 19도는 충전 이전의 듀얼-다마신 구조물의 정사도.
제 20도 및 제 21도는 본 발명에 따라 형성된 상호연결부의 단면도.
제 22도는 본 발명에 따른 상호연결부를 형성하는 기본 단계의 흐름도.

Claims (39)

  1. 기판 상에 금속을 스퍼터링하는 방법으로서,
    상기 기판 상에 내화 금속 화합물을 포함하는 제 1 층을 고밀도 플라즈마로 스퍼터 증착하는 제 1 단계를 포함하는데, 상기 화합물은 증착되는 화합물의 이온화 분률이 10% 내지 100% 범위에 있는 종(species)으로 형성되며, 상기 이온화 분률은 이전에 스퍼터되고 반응된 내화 금속을 이온화시킴으로써 달성되며;
    내화 금속 화합물의 제 1 층 바로 위에 제 2의 금속층을 스퍼터 증착하는 제 2 단계를 포함하는 스퍼터링 방법.
  2. 제 1 항에 있어서, 상기 제 2 층은 그의 주축을 따라 전기적으로 전도성있는 소자를 형성하는 스퍼터링 방법.
  3. 제 1 항에 있어서, 상기 제 2 단계는 상기 제 1 단계의 고밀도 플라즈마 보다 작은 밀도를 갖는 플라즈마를 사용하여 수행되는 스퍼터링 방법.
  4. 제 3 항에 있어서, 상기 제 1 단계는 상기 고밀도 플라즈마의 공간을 에워싸는 내부 유도 코일에 의해 상기 고밀도 플라즈마가 적어도 부분적으로 활성화되는 제 1 반응 챔버내에서 수행되는 스퍼터링 방법.
  5. 제 1 항에 있어서, 상기 제 1 단계는 상기 고밀도 플라즈마의 공간을 에워싸는 내부 유도 코일에 의해 상기 고밀도 플라즈마가 적어도 부분적으로 활성화되는 제 1 반응 챔버내에서 수행되는 스퍼터링 방법.
  6. 제 5 항에 있어서, 상기 제 2 단계는 상기 금속의 적어도 일부를 포함하는 스퍼터링 타겟과 상기 기판을 지지하는 받침대 사이에 인가된 전압에 의해 주로 활성화되는 플라즈마 반응 챔버에서 수행되는 스퍼터링 방법.
  7. 제 1 항에 있어서, 상기 내화 금속 화합물은 내화 금속의 질화물을 포함하는 스퍼터링 방법.
  8. 제 7 항에 있어서, 상기 내화 금속의 상기 화합물은 TiN을 포함하는 방법.
  9. 제 1 항에 있어서, 상기 제 1 단계 및 상기 제 2 단계 사이에 수행되는 제 3 단계를 더 포함하며, 상기 제 3 단계는 상기 제 1 층 상에 고밀도 플라즈마에서 제 3 층을 스퍼터링 증착하고, 상기 제 3 층의 적어도 일부는 적어도 하나의 내화 금속을 포함하는 상기 제 2 금속층을 면하는 스퍼터링 방법.
  10. 제 9 항에 있어서, 상기 적어도 하나의 내화 금속은 Ti을 포함하는 스퍼터링 방법.
  11. 제 9 항에 있어서, 상기 기판은 기판층 위에 놓인 유전층으로 형성되며, 적어도 1:1의 종횡비를 갖는 상기 유전층을 관통하는 구멍을 포함하며, 상기 제 1, 제 2 및 제 3 단계는 상기 제 1, 제 2, 및 제 3 층을 상기 구멍에 증착하는 스퍼터링 방법.
  12. 제 9 항에 있어서, 상기 기판층은 실리콘을 포함하며, 적어도 하나의 제 2 내화 금속을 포함하는 제 4 층을 상기 기판층 위에 스퍼터링 증착하는 제 4 단계를 더 포함하는 스퍼터링 방법.
  13. 제 12 항에 있어서, 상기 적어도 하나의 제 2 내화 금속은 Ti를 포함하는 스퍼터링 방법.
  14. 제 1 항에 있어서, 상기 제 2 증착 단계는,
    상기 기판을 제 1 온도로 유지하면서 제 1 서브층을 스퍼터 증착하는 제 1 부단계; 및
    상기 기판을 상기 제 1 온도보다 높은 제 2 온도로 유지하면서 제 2 서브층을 스퍼터 증착하는 제 2 부단계를 포함하는 스퍼터링 방법.
  15. 제 13 항에 있어서, 상기 금속층은 알루미늄을 포함하며, 상기 제 1 온도는250℃ 이하이고, 상기 제 2 온도는 350-470℃ 범위에 있는 스퍼터링 방법.
  16. 제 14 항에 있어서, 상기 제 2 온도는 430℃ 이하인 스퍼터링 방법.
  17. 제 1 항에 있어서, 상기 제 1 단계는 상기 기판에 인접한 상기 챔버의 내부 체적 주위를 에워싸고 제 1 RF 전원에 의해 전력이 공급되는 유도 코일을 포함하는 플라즈마 반응 챔버에서 수행되며, DC 전원은 티타늄을 포함하는 스퍼터링 타겟과 상기 기판을 지지하는 받침대 사이에 접속되는 스퍼터링 방법.
  18. 제 17 항에 있어서, 상기 고밀도 플라즈마를 생성하는 동안, 상기 RF 전원이 상기 코일에 RF 전력 PRF를 제공하며, 상기 DC 전원은 상기 타겟에 직류 전력 PDC을 제공하고, PRF는 PDC의 20%이거나 또는 그 이상인 스퍼터링 방법.
  19. 제 17 항에 있어서, 상기 플라즈마 반응 챔버는 상기 고밀도 플라즈마에 DC 자기 바이어스 VBIAS를 형성하게 위해 상기 받침대에 RF 바이어스를 제공하는 제 2 RF 전원을 더 포함하며, 상기 고밀도 플라즈마를 형성하는 동안,의 조건을 만족시키는 스퍼터링 방법.
  20. 제 19 항에 있어서, 상기 조건은인 것을 스퍼터링 방법.
  21. 제 1 항에 있어서, 상기 제 1 스퍼터링 단계를 상기 고밀도 플라즈마을 포함하며 1mTorr 보다 큰 압력을 갖는 챔버에서 수행되는 스퍼터링 방법.
  22. 제 21 항에 있어서, 상기 압력은 10mTorr 이상인 스퍼터링 방법.
  23. 제 22 항에 있어서, 상기 압력은 30mTorr 이상인 스퍼터링 방법.
  24. 제 23 항에 있어서, 상기 압력은 100mTorr 이하인 스퍼터링 방법.
  25. 제 21 항에 있어서, 상기 압력은 100mTorr 이하인 스퍼터링 방법.
  26. 패턴화된 유전층 상에 전기적 상호접속부를 형성하는 방법으로서,
    내화 금속 화합물을 포함하는 라이너층을 고밀도 플라즈마에서 증착하는 단계를 포함하는 단계로서, 상기 화합물은 증착되는 화합물의 이온화 분률이 10% 내지 100% 범위에 있는 종으로부터 형성되며, 상기 이온화 분률은 상기 유전층 상에서, 이전에 스퍼터되고 반응된 내화 금속을 이온화시킴으로써 달성되는 증착 단계;
    상기 라이너층 바로 위에 금속층을 증착하는 단계; 및
    수평으로 연장되는 전기적 상호접속부 속에 상기 금속층을 포토리소그래피방식으로 형성하는 단계를 포함하는 전기적 상호접속부 형성 방법.
  27. 제 26 항에 있어서, 상기 내화 금속 화합물은 Ti 및 N을 포함하는 전기적 상호접속부 형성 방법.
  28. 제 27 항에 있어서, 상기 금속층은 알루미늄을 포함하는 전기적 상호접속부 형성 방법.
  29. 제 1 항에 따른 콘택 구조물 형성 방법으로서,
    실리콘 표면부를 포함하는 기판을 제공하는 단계;
    상기 기판 상에 형성되며 상기 실리콘 표면부를 통과하여 연장되는 구멍을 갖는 유전층을 형성하는 단계;
    상기 구멍의 벽 및 하부 상에 내화 금속을 포함하는 제 1 층을 증착하는 단계로서, 상기 내화 금속은 증착되는 내화 금속에서 이온화 분률이 10% 내지 100% 범위에 있는 종으로부터 형성되며, 상기 이온화 분률은 이전에 스퍼터된 내화 금속을 이온화함으로써 달성되는 증착 단계;
    상기 제 1 층 위에 내화 금속 화합물을 포함하는 제 2층을 증착하는 단계;
    상기 제 2 층 위에 내화 금속을 포함하며, RMS 표면 조도가 1.5nm 이하인 제 3 층을 증착하는 단계; 및
    상기 제 3 층 위에 제 4 금속층을 증착하는 단계를 포함하는 콘택구조물 형성 방법.
  30. 제 29 항에 있어서, 상기 제 4 층은 상기 구멍을 대부분 충전하는 콘택 구조물 형성 방법.
  31. 제 29 항에 있어서, 상기 제 2 내화 금속 화합물은 상기 제 3 내화 금속의 질화물을 포함하는 콘택 구조물 형성 방법.
  32. 제 31 항에 있어서, 상기 제 1, 제 2, 및 제 3 내화 금속은 각각 티타늄을 포함하는 콘택 구조물 형성 방법.
  33. 제 29 항에 있어서, 상기 제 4 층은 알루미늄을 포함하는 콘택 구조물 형성 방법.
  34. 제 26 항에 따른 상호접속부 구조물을 형성하는 방법으로서,
    표면 유전체부를 포함하는 기판을 제공하는 단계와;
    상기 기판 상에 내화 금속 화합물을 포함하는 제 1 층을 형성하는 단계를 포함하는데, 상기 내화 금속의 화합물은 증착되는 내화 금속의 이온화 분률이 10% 내지 100% 범위에 있는 종으로부터 형성되며, 상기 분률은 이전에 스퍼터되고 반응된내화 금속 화합물을 이온화시킴으로써 달성되며, 상기 제 1 층의 RMS 표면 조도는 1.5nm 이상이며;
    저겅도 하나의 수직으로 연장되는 전기적 상호연결부를 형성하는, 상기 제 1 층 상에 제 2 금속층을 증착하는 단계를 포함하는 상호연결부 구조물 형성 방법.
  35. 제 34 항에 있어서, 상기 화합물은 내화 질화물인 상호연결부 구조물 형성 방법.
  36. 제 35 항에 있어서, 상기 제 1 내화 금속은 티타늄을 포함하는 상호연결부 구조물 형성 방법.
  37. 제 34 항에 있어서, 상기 기판 위에 증착된 제 3 층을 더 포함하고, 제 2 내화 금속을 포함하고, 그 위에 상기 제 1 층에 의해 증착되는 상호연결부 구조물 형성 방법.
  38. 제 37 항에 있어서, 상기 화합물은 내화 질화물인 상호연결부 구조물 형성 방법.
  39. 제 38 항에 있어서, 상기 제 1 및 제 2 내화 금속은 티타늄을 포함하는 상호연결부 구조물 형성 방법.
KR1019960032822A 1995-08-07 1996-08-07 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성 KR100442023B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/511,825 US5962923A (en) 1995-08-07 1995-08-07 Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US08/628,835 1996-04-05
US08/628,835 US6217721B1 (en) 1995-08-07 1996-04-05 Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer

Publications (2)

Publication Number Publication Date
KR970072101A KR970072101A (ko) 1997-11-07
KR100442023B1 true KR100442023B1 (ko) 2004-10-25

Family

ID=24036608

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1019960032821A KR100489916B1 (ko) 1995-08-07 1996-08-07 반도체웨이퍼내의접촉점,비아및트렌치를저온에서금속으로충전하고평탄화하는방법및장치
KR1019960032822A KR100442023B1 (ko) 1995-08-07 1996-08-07 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
KR1020040074509A KR100512155B1 (ko) 1995-08-07 2004-09-17 반도체 웨이퍼내의 접촉점, 비아 및 트렌치를 저온에서금속으로 충전하고 평탄화하는 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019960032821A KR100489916B1 (ko) 1995-08-07 1996-08-07 반도체웨이퍼내의접촉점,비아및트렌치를저온에서금속으로충전하고평탄화하는방법및장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020040074509A KR100512155B1 (ko) 1995-08-07 2004-09-17 반도체 웨이퍼내의 접촉점, 비아 및 트렌치를 저온에서금속으로 충전하고 평탄화하는 장치

Country Status (4)

Country Link
US (5) US5962923A (ko)
EP (1) EP0758148A3 (ko)
JP (3) JP3193875B2 (ko)
KR (3) KR100489916B1 (ko)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2891161B2 (ja) * 1996-02-15 1999-05-17 日本電気株式会社 配線形成方法
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW402778B (en) * 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US5925225A (en) * 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW460597B (en) * 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
KR100480572B1 (ko) * 1997-11-13 2005-09-30 삼성전자주식회사 알루미늄배선층형성방법
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
JP3399814B2 (ja) * 1997-11-27 2003-04-21 科学技術振興事業団 微細突起構造体の製造方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JP3735461B2 (ja) * 1998-03-27 2006-01-18 株式会社シンクロン 複合金属の化合物薄膜形成方法及びその薄膜形成装置
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6187673B1 (en) * 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
JP2002534807A (ja) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド フィーチャ表面カバレッジの改善を促進する銅シード層の堆積方法
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
TW426953B (en) * 1999-01-22 2001-03-21 United Microelectronics Corp Method of producing metal plug
DE19922557B4 (de) 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
DE10014917B4 (de) * 2000-03-17 2004-12-02 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20050181600A1 (en) * 2000-06-30 2005-08-18 Hynix Semiconductor, Inc. Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6376281B1 (en) 2000-10-27 2002-04-23 Honeywell International, Inc. Physical vapor deposition target/backing plate assemblies
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
US6688584B2 (en) * 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6746950B2 (en) * 2001-11-14 2004-06-08 Vitesse Semiconductor Corporation Low temperature aluminum planarization process
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
KR100440261B1 (ko) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
DE10208787B4 (de) * 2002-02-28 2007-04-26 Infineon Technologies Ag Verfahren zum Füllen von teilweise bauchigen tiefen Gräben oder tiefen Gräben mit einer Flankensteilheit von 90° oder größer und dieses verwendende Verfahren zur Herstellung von MOS-Feldeffekttransistoren und IGBTs
DE10214065B4 (de) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
DE10219115A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6948231B2 (en) 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040112735A1 (en) * 2002-12-17 2004-06-17 Applied Materials, Inc. Pulsed magnetron for sputter deposition
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
KR100467783B1 (ko) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
EP1433740A1 (en) * 2002-12-24 2004-06-30 Interuniversitair Microelektronica Centrum Vzw Method for the closure of openings in a film
KR100688761B1 (ko) * 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 반도체의 금속배선 형성방법
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US7253092B2 (en) * 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR20060037255A (ko) * 2003-08-11 2006-05-03 허니웰 인터내셔널 인코포레이티드 타겟/벡킹 플레이트 구조물
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US20050181177A1 (en) * 2004-02-18 2005-08-18 Jamie Knapp Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
KR100594276B1 (ko) * 2004-05-25 2006-06-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
DE102005023670B4 (de) * 2004-05-25 2007-12-27 Samsung Electronics Co., Ltd., Suwon Verfahren zum Ausbilden von Metall-Nitrid-Schichten in Kontaktöffnungen und integrierte Schaltung mit derart ausgebildeten Schichten
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP4916444B2 (ja) * 2005-08-26 2012-04-11 株式会社日立製作所 半導体装置の製造方法
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
WO2007065460A1 (de) * 2005-12-09 2007-06-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dünnfilmwiderstand mit schichtstruktur und verfahren zur herstellung eines dünnfilmwiderstands mit schichtstruktur
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070243708A1 (en) * 2006-04-12 2007-10-18 Jens Hahn Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
US20090077506A1 (en) * 2007-05-16 2009-03-19 Eugene Anikin Simultaneous Multi-Layer Fill Generation
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
EP1947210A1 (fr) * 2007-01-16 2008-07-23 ARCELOR France Procede de revetement d'un substrat, installation de mise en oeuvre du procede et dispositif d'alimentation en metal d'une telle installation
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
JP5448232B2 (ja) * 2008-04-28 2014-03-19 コムコン・アーゲー 物体を前処理及びコーテイングするための装置及び方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
US20100078758A1 (en) * 2008-09-29 2010-04-01 Sekar Deepak C Miim diodes
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US7969011B2 (en) * 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP2010245334A (ja) 2009-04-07 2010-10-28 Renesas Electronics Corp 半導体装置の製造方法
JP5144585B2 (ja) 2009-05-08 2013-02-13 住友電気工業株式会社 半導体装置およびその製造方法
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
JP5612830B2 (ja) * 2009-05-18 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
JP5649441B2 (ja) 2009-12-29 2015-01-07 キヤノンアネルバ株式会社 金属膜を埋め込む工程を有する電子部品の製造方法
WO2011081202A1 (ja) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102453855B (zh) * 2010-10-28 2014-12-31 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
DE112012005736T5 (de) 2012-01-24 2014-10-16 Canon Anelva Corporation Herstellungsverfahren für elektrisches Bauteil und Elektrodenaufbau
US8723115B2 (en) 2012-03-27 2014-05-13 Kla-Tencor Corporation Method and apparatus for detecting buried defects
US9449788B2 (en) 2013-09-28 2016-09-20 Kla-Tencor Corporation Enhanced defect detection in electron beam inspection and review
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
JP6801200B2 (ja) 2016-03-16 2020-12-16 富士電機株式会社 炭化珪素半導体素子の製造方法
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN107394062B (zh) * 2017-07-20 2019-02-05 京东方科技集团股份有限公司 一种有机发光二极管显示面板及其制作方法、显示装置
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
DE102017219425B3 (de) * 2017-10-30 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nanostrukturierte Titan-Mehrschichtelektrode
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
CN113261075A (zh) * 2018-12-21 2021-08-13 应用材料公司 用于形成触点的处理***和方法
US11670485B2 (en) * 2019-08-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
CN110565056B (zh) * 2019-09-19 2021-03-30 广东工业大学 一种5g金属/陶瓷复合电路板及其制备方法
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230134596A1 (en) * 2021-10-29 2023-05-04 Texas Instruments Incorporated Metal stack to improve stack thermal stability

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992007969A1 (en) * 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
EP0655780A1 (en) * 1993-11-30 1995-05-31 STMicroelectronics, Inc. Method for forming an aluminum contact

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (fr) * 1967-06-22 1968-08-02 Alcatel Sa Perfectionnements à l'obtention de dépôts par pulvérisation cathodique
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) * 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) * 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5384684A (en) 1976-12-29 1978-07-26 Fujitsu Ltd Plasma etching device
FR2475798A1 (fr) * 1980-02-13 1981-08-14 Commissariat Energie Atomique Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
US4499655A (en) * 1981-03-18 1985-02-19 General Electric Company Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (ja) * 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> 半導体結晶上への絶縁膜の形成法
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6043481A (ja) * 1983-08-19 1985-03-08 旭硝子株式会社 スパツタリング法及びその装置
US4717632A (en) * 1983-08-22 1988-01-05 Ovonic Synthetic-Materials Company, Inc. Adhesion and composite wear resistant coating and method
FR2555362B1 (fr) * 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
JPS6164124A (ja) 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
US4663009A (en) 1985-02-08 1987-05-05 Hewlett-Packard Company System and method for depositing plural thin film layers on a substrate
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (ja) * 1985-12-25 1987-07-07 Kyocera Corp 太陽電池モジユ−ル
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
DE3632340C2 (de) * 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4853102A (en) 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPS63317675A (ja) 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (ja) * 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (ko) * 1989-10-03 1999-03-30 제임스 조셉 드롱 고주파 반도체 웨이퍼 가공장치 및 방법
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5635036A (en) 1990-01-26 1997-06-03 Varian Associates, Inc. Collimated deposition apparatus and method
DE69129081T2 (de) 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
JPH0427163A (ja) 1990-05-23 1992-01-30 Fujitsu Ltd 半導体装置およびその製造方法
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
DE4200809C2 (de) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
US5242860A (en) 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
EP0551117A2 (en) * 1992-01-08 1993-07-14 Mitsubishi Denki Kabushiki Kaisha Large scale integrated circuit device and thin film forming method and apparatus for the same
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
JPH06168891A (ja) 1992-11-30 1994-06-14 Mitsubishi Electric Corp 半導体製造装置
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
JPH0824119B2 (ja) * 1993-07-07 1996-03-06 日本電気株式会社 半導体装置の製造方法
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
JPH07193025A (ja) * 1993-11-22 1995-07-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2904263B2 (ja) * 1995-12-04 1999-06-14 日本電気株式会社 スパッタ装置
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
JPH10237639A (ja) 1997-02-24 1998-09-08 Anelva Corp 集積回路用バリア膜を作成するスパッタリング装置
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992007969A1 (en) * 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
EP0655780A1 (en) * 1993-11-30 1995-05-31 STMicroelectronics, Inc. Method for forming an aluminum contact

Also Published As

Publication number Publication date
KR970072101A (ko) 1997-11-07
US6217721B1 (en) 2001-04-17
US20020089027A1 (en) 2002-07-11
US5962923A (en) 1999-10-05
EP0758148A2 (en) 1997-02-12
KR100512155B1 (ko) 2005-09-05
KR970013059A (ko) 1997-03-29
US6136095A (en) 2000-10-24
US6313027B1 (en) 2001-11-06
EP0758148A3 (en) 1999-08-18
JPH09162293A (ja) 1997-06-20
JP2008261059A (ja) 2008-10-30
JP3193875B2 (ja) 2001-07-30
JP2001358091A (ja) 2001-12-26
KR100489916B1 (ko) 2005-09-15

Similar Documents

Publication Publication Date Title
KR100442023B1 (ko) 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US9991157B2 (en) Method for depositing a diffusion barrier layer and a metal conductive layer
US6045666A (en) Aluminum hole filling method using ionized metal adhesion layer
US7645696B1 (en) Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
WO2002039500A2 (en) Use of a barrier sputter reactor to remove an underlying barrier layer
EP1074045A1 (en) Method for forming a multi-layered aluminum-comprising structure on a substrate
JP2001068433A (ja) 連続的で塊状化していない種層の障壁層への接着
KR100501460B1 (ko) 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법
US7071096B2 (en) Method of forming a conductive barrier layer within critical openings by a final deposition step after a re-sputter deposition
US6784105B1 (en) Simultaneous native oxide removal and metal neutral deposition method
US6380075B1 (en) Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
JPH09120991A (ja) 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JP4943111B2 (ja) 半導体装置の製造方法
US6984294B2 (en) Method of forming a conductive barrier layer having improved coverage within critical openings
US6949472B1 (en) Method for high kinetic energy plasma barrier deposition
US6503824B1 (en) Forming conductive layers on insulators by physical vapor deposition
US20030017696A1 (en) Method for improving capability of metal filling in deep trench

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee