KR100349064B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100349064B1
KR100349064B1 KR1019940040232A KR19940040232A KR100349064B1 KR 100349064 B1 KR100349064 B1 KR 100349064B1 KR 1019940040232 A KR1019940040232 A KR 1019940040232A KR 19940040232 A KR19940040232 A KR 19940040232A KR 100349064 B1 KR100349064 B1 KR 100349064B1
Authority
KR
South Korea
Prior art keywords
electrode
plasma
processing
gas
magnet assembly
Prior art date
Application number
KR1019940040232A
Other languages
English (en)
Inventor
이마후쿠고스케
엔도쇼스케
다하라가즈히로
쓰치야히로시
도모야스마사유키
나이토유키오
나가세키가즈야
노나카료
히로세게이조
후카사와요시오
고시이시아키라
고바야시이사오
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27526259&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100349064(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Application granted granted Critical
Publication of KR100349064B1 publication Critical patent/KR100349064B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리장치는 처리용기와, 전극간에 플라즈마 발생영역을 설정하기 위하여 일정한 간격으로 서로 대향하여 처리용기내에 배열 설치된 상부전극과 하부전극으로 이루어져 있다. 피처리체는 하부전극 위에 얹어놓인다. 고주파전력은, 플라즈마를 양 전극사이에 발생시키고, 그에 의해서 처리되는 피처리체에 대하여 플라즈마처리를 행하도록 각 전극으로 공급된다. 또한, 플라즈마 발생영역 안에 플라즈마를 둘러싸도록 처리용기내의 플라즈마 발생영역근방에 원통형상의 접지전극이 설치되며, 또한, 처리가스를 배출하기 위하여 여러개의 투과구멍을 가진다.

Description

플라즈마 처리장치
본 발명은, 1쌍의 전극이 대향하여 설치된 플라즈마 처리장치에 관한 것이다.
각종의 플라즈마 처리장치가, 종래부터 예를 들면 반도체 제조과정에 있어서는, 반도체웨이퍼(이하,[웨이퍼]라고 한다)등의 표면처리를 하기 위해서 많이 사용되고 있다. 그 중에서도 특히 소위 평행평판형의 플라즈마 처리장치는, 균일성이 뛰어나고, 대구경웨이퍼의 처리가 가능하다는 등의 장점을 가지며, 또한 장치구성도 비교적 간단하므로, 많이 사용되고 있다.
상기 종래의 일반적인 평행평판형의 플라즈마 처리장치는, 처리용기내의 상하에 전극이 소정간격으로 대향하여 설치되어 있고, 피처리체인 웨이퍼는, 예컨대 아래측 전극상에 얹어놓이며, 예를 들어 에칭처리의 경우에는, 이 처리용기내에 에칭가스를 도입함과 동시에, 고주파전력을 상기 전극의 적어도 한쪽에 인가하여 전극간에 플라즈마를 발생시키고, 에칭가스의 해리에 의해서 생긴 에천트 (etchant)이온에 의해서, 상기 웨이퍼를 에칭하도록 구성되어 있다. 이 플라즈마처리에 의한 처리가공은, 반도체디바이스의 고집적화에 따라서 더욱더 미세한 가공과 처리속도의 향상이 요구되고 있다. 이 요구를 만족시키기 위해서는, 전극간에 발생시키는 플라즈마의 밀도도, 보다 고밀도화하는 것이 필요하다.
이 점에 관해서, 예를 들면서 일본국 특개소 제 57-l59026 호 [드라이에칭방법]의 공보에는, 새로운 플라즈마 발생방법으로서 마그네트론을 이용한 마그네트론방식의 플라즈마 처리장치가 개시되고, 또한 동 특공소 제 58-l2346 호[플라즈마 에칭장치]의 공보에서는, 통상의 전극이외에 상하전극중간에 그리드형상 등의 공통애노드전극을 채용한 구성이 개시되어 있다.
그러나, 상기 마그네트론방식의 플라즈마처리장치에서는, 비교적 고진공에서 고밀도의 플라즈마를 얻을 수 있으나, 고주파전계의 고주파에 비교해서 자계의 변화가 매우 늦으므로, 자계의 변동에 따라서 플라즈마상태가 변화하고, 이 변화가 이온에너지나 방향성에 변동을 주기 때문에, 웨이퍼에 형성된 소자의 손상 또는 가공형상의 열화(劣化)가 일어날 우려가 있다.
또한 공통 애노드 구성에서는, 이온에너지와 전류밀도를 독립하여 제어할 수 있는 이점은 있으나, 그리드를 통해서 플라즈마가 확산하여 버리고, 웨이퍼에 입사하는 이온전류밀도는 낮아지게 되고, 처리율이 저하되어 버리거나 또는 처리가 균일화되지 않게 될 우려가 있다.
그리고, 높은 미세가공에 따라서, 고주파, 고진공분위기가 필요하게 되어지면, 전극과 처리용기 내벽과의 사이의 임피던스가 저하되어, 플라즈마가 보다 쉽게 확산하는 환경이 된다.
상기와 같이 플라즈마가 처리용기내에서 바깥쪽으로 확산하여 버리면, 플라즈마 밀도의 저하뿐만 아니라, 처리용기 내벽에 금속오염 등이 발생하여 피처리체인 웨이퍼를 오염시켜 버린다. 이러한 경향은, 앞으로 더욱 더 요구되는 고미세가공에 필요한 고감압도에서의 플라즈마처리에서 보다 한층 더 현저해진다.
본 발명의 목적은, 고미세한 플라즈마 처리가공을 양호하게 실시하기 위하여, 비교적 간소한 평행평판형식의 장치구성을 채택하면서, 플라즈마를 처리용기내에서 바깥쪽으로 확산시키지 않고, 플라즈마 발생영역내에 밀폐하여서 높은 플라즈마 밀도를 실현시킴과 동시에, 금속오염에 의한 웨이퍼의 오염을 발생시킬 우려가 적은 플라즈마 처리장치를 제공하는 데 있다.
제 1 도는, 본 발명의 실시예에 관련된 에칭처리장치의 단면설명도,
제 2 도는, 제 1 도의 에칭처리장치에 사용한 접지전극의 일부 파단사시도,
제 3 도는, 다른 구조를 가지는 접지전극을 사용한 처리용기의 단면설명도,
제 4 도는, 투과구멍을 가지는 접지전극의 사시도,
제 5 도는, 대향형의 접지전극을 사용한 처리용기의 단면설명도,
제 6 도는, 내측에 사면부(斜面部)를 가지는 대향형의 접지전극을 사용한 처리용기의 단면설명도
제 7 도는, 본 발명의 다른 실시예에 관련된 에칭장치를 개략적으로 나타낸 단면도,
제 8 도는, 제 7 도에 나타낸 장치의 가스확산가이드의 일부를 확대하여 나타낸 도면,
제 9 도는, 제 7 도에 나타낸 장치로, 실리콘웨이퍼의 산화막 에칭을 행한 때의 웨이퍼의 직경방향으로 어긋난 위치와 에칭속도와의 관계를 나타낸 도면,
제 10 도는, 제 7 도에 나타낸 장치로부터 가스확산가이드를 제거하고, 실리콘웨이퍼의 산화막에칭을 행한 때의 웨이퍼의 직경방향으로 어긋난 위치와 에칭속도와의 관계를 제 9도와 비교하기 위하여 나타낸 도면,
제 11 도는, 또 다른 실시예의 에칭장치를 개략적으로 나타낸 도면,
제 12 도는, 플라즈마 확산방지수단으로서 영구자석을 사용한 경우의 상부전극, 서셉터 근방의 요부 확대 단면도,
제 13 도는, 제 12 도에 있어서의 영구자석의 사시도,
제 14 도는, 제 12 도의 영구자석의 배치상태를 나타낸 절연부재의 바닥면도
제 15 도는, 제 12 도의 영구자석의 자극배치상태를 나타낸 설명도,
제 16 도는, 제 12 도의 영구자석에 자성체를 부착한 상태를 나타낸 단면설명도,
제 17 도는, 영구자석의 다른 자극배치상태를 나타낸 설명도,
제 18 도는, 종래기술에 관련된 에칭에 의해서 형성된 콘택트홀의 단면설명도,
제 19 도는, 다른 실시예에서 인가하는 고주파전력의 출력변조상태를 나타낸 그래프,
제 20 도는, 본 발명의 실시예에 의해서 형성된 콘택트홀의 단면설명도,
제 21 도는, RIE모드에 있는 본 발명의 다른 실시예의 설명도,
제 22 도는, PE모드에 있는 본 발명의 다른 실시예의 설명도,
제 23 도는, 주파수가 다른 고주파전력을 상하대향전극에 인가하는 구성을 가지는 본 발명의 다른 실시예의 에칭장치 설명도,
제 24 도는, 제 23 도의 에칭장치 요부설명도,
제 25 도는, 상부전극측의 접지전극과 하부전극측의 접지전극의 각 바깥둘레부가 겹쳐있지 않은 상태를 나타낸 설명도
제 26 도는, 상하대향전극간의 갭길이와, 에칭레이트, 균일도, 플라즈마 안정도와의 관계를 나타낸 그래프,
제 27 도는, 종래의 정합기의 구성을 나타낸 설명도,
제 28 도는, 상하대향전극간의 갭길이를 가변적으로 구성한 다른 실시예의 설명도,
제 29 도는, 가열부재를 구비한 또 다른 실시예에 관련된 에칭장치를 개략적으로 나타낸 단면도,
제 30 도는, 제 29 도에 나타낸 가열부재를 나타낸 단면도,
제 31 도는, 본 발명의 각각의 실시예에 적용가능한 카본적용기술을 설명하기 위한 에칭장치를 개략적으로 나타낸 도면,
제 32 도는, 제 31 도에 나타낸 장치의 개략적 횡단면도이다.
<도면의 주요 부분에 대한 부호의 설명>
1,92 : 에칭처리장치 2 : 처리장치
3 : 절연판 4 : 서셉터지지대
5 : 서셉터 6 : 냉매실
11 : 정전척 12 : 도전층
13 : 직류고압전원 15 : 포커스링
21 : 상부전극 22,26,62 : 절연재
23 : 확산구멍 24 : 전극판
25 : 전극지지체 27,61,63,66,67: 접지전극
28 : 가스도입포트 29 : 가스도입관
30 : 가스공급관 31,32,33 : 밸브
34,35,36 : 매스플로우콘트롤러 37,38,39: 처리가스공급원
41,44 : 배기관 42 : 게이트 밸브
43 : 로드록실 45 : 진공흡인수단
46 : 반송수단 48 :전원
51,52,91 : 고주파전원 53,54,151 : 정합기
55,56 : 위상검출수단 57 : 위상콘트롤러
64,216 : 투과구멍 71,73 : 절연부재
72,74 : 영구자석 81,82 : 홀
96 : 제 1 진공릴레이 97 : 시일드박스
98 : 매칭박스 99 : 제 2 진공릴레이
101 : 에칭장치 133 : 유도코일
134,135 : 가변용량 154,155 : 가변코일
161 : 조절기구 200 : 가열부재
201 : 원통체 202 : 가열체
203 : 전원 219 : 배플판
220 : 카본제 판 221 : 원통
222 : 개구부 223 : 셔터판
224 : 샤프트 225 : 에어실린더
본 발명의 플라즈마 처리장치는, 처리용기내에서의 플라즈마 발생영역, 즉, 플라즈마 발생영역주위에, 상기 플라즈마를 이 플라즈마 발생영역에서 밀폐하기 위한 플라즈마 밀폐수단이 설치되어 있음으로써, 플라즈마가 그 플라즈마 발생영역에 머무르고, 주위로 확산되지는 않는다. 따라서, 처리영역에서의 플라즈마밀도가 높아지며, 다른 쪽 처리용기 내벽에 오염이 발생하지도 않는다.
상기 플라즈마 밀폐수단이, 플라즈마 발생영역을 둘러싸는 절연체의 경우에는, 이 절연체에 의해서 플라즈마 안의 이온확산이 직접 규제된다.
상기 플라즈마 밀폐수단이, 전기적으로 접지한 제 3 전극에 의해서 구성되어 있으면, 플라즈마 발생영역으로부터 밖으로 확산하려고 하는 이온은 제 3 전극측에 적극적으로 이동하고, 플라즈마의 확산은 방지된다.
이러한 플라즈마확산의 방지라는 목적만을 감안하면, 플라즈마 밀폐수단의 형태는 플라즈마 발생영역을 둘러쌀 수 있는 예컨대 원통체로 구성하는 것이 바람직하나, 플라즈마 발생영역내에 도입한 에칭가스의 배기를 고려하면, 이 원통체에 여러개의 투과구멍을 설치함으로써, 배기를 손실하지 않고, 동시에 플라즈마의 확산을 방지할 수가 있다.
또한 상기와 같이 접지한 제 3 전극의 경우에는, 적극적으로 이온을 소위 끌어들이도록 하고 있으므로, 플라즈마 발생영역을 둘러싸는 거의 고리형상의 형태를 가지며, 그 안둘레를 상기 플라즈마 발생영역을 향하여 볼록하게 굽어지게 한 경우에는, 플라즈마측에 노출되는 표면적이 크게 되어, 큰 파워에 의해서 발생한 플라즈마에 대해서도 소기의 목적을 달성하는 것이 가능하다. 또한, 거의 고리형상의 형태를 가지는 접지전극을 제 1 전극근방과 제 2 전극근방에 각각 배치한 경우에는, 각각 대향측에 있는 제 1 전극과 제 2 전극 각각으로부터의 이온을 끌어들여서, 그에 의하여 플라즈마의 확산을 방지하는 것이 가능하다. 즉 제 3 전극은 제 2 전극으로부터, 제 4 전극은 제 1 전극으로부터 상응하는 이온을 각각 끌어들여서, 그것에 의하여 플라즈마의 확산을 방지할 수가 있다. 이 경우, 접지되어 있는 2개의 전극, 즉 제 3 전극과 제 4 전극의 바깥둘레부가 겹쳐지도록 배치하면, 한층 더 플라즈마의 확산을 방지하는 것이 가능하게 된다.
본 발명의 다른 양태에 있어서는, 처리용기내에서의 상기 제 1, 제 2 전극의 각 전극주위 근방에, 각각 여러개의 자석을 거의 고리형상으로 배치하고, 또한 제 1 전극측에 배치된 제 1 그룹의 자석과, 제 2 전극측에 배치된 제 2 그룹의 자석을 대향시키고, 또한 대향하는 각 자석의 자극을 서로 상이한 것으로 하고 있으므로, 제 1, 제 2의 각 전극 둘레사이의 공간주변부에, 국소적인 자기장이 형성되고, 이에 의해서 플라즈마 중의 하전입자를 트랩시켜서, 플라즈마의 확산을 방지하는 것이 가능하게 된다.
상기 자석은, 제 1 전극측에 배치된 자석과, 제 2 전극측에 배치된 자석과의 대향부분측뿐만 아니라, 인접하는 자석 상호간의 자극도 서로 다른 것으로 함으로써, 자기장에 의한 하전입자의 트랩체제가 긴밀해지고, 또한 높은 플라즈마 확산방지효과가 얻어진다.
자석에 의해서 발생하는 피처리체 둘레부의 자기장강도는, 10 Gauss 이하가 되도록 설정하는 것이, 웨이퍼 등의 피처리체 플라즈마 처리영역에 있어서의 플라즈마에 영향을 주지 않고, 소기의 플라즈마처리를 하는 것이 가능하므로 바람직하다.
제 1 전극과 제 2 전극에 대하여, 각각 고주파전력을 인가하도록 구성하여도 좋으며, 이 경우에는, 각 고주파전력의 전압을 각각 독립가변으로 하는 것이 용이하다.
제 1 전극과 제 2 전극에 인가하는 각 고주파전력의 전류위상차를, 바람직하게는, 거의 180°로 제어하도록 하고, 처리용기내의 감압도나 처리용기내에 도입하는 처리가스의 종류와는 관계없이, 효율좋게 고주파전력을 플라즈마에 투입시킬 수 있다. 따라서, 피처리체 근방의 플라즈마밀도가 증대하고, 피처리체에 입사하는 이온의 전류밀도가 증가한다.
이 경우, 전류위상차를 거의 180°로 제어하는 수단을 각 전극에 흐르는 고주파전류의 위상을 검출하고 위상신호를 출력하는 검출수단과, 이들 검출수단으로부터 위상차를 검출하여 출력하는 수단을 구비한 구성으로 하면, 이러한 제어를 원활하게 행할 수 있고, 또한, 고주파전류의 위상을 검출하여 위상신호를 출력하는 검출수단을 전류트랜스로 하면, 장치구성이 간소화되므로, 바람직하다. 이 경우, 전송선로 또는 정합기에서의 위상이 어긋나는 영향을 억제하여, 정확하게 검출한다는 관점에서 보면, 전류트랜스는 전극에 가능하면 가까운 곳에 배치하는 것이 바람직하다.
처리용기를 내부에 형성하는 처리용기를 접지함과 동시에, 제 1, 제 2 의 각 전극은 이 처리용기와는 절연하고, 1개의 고주파전원으로부터의 고주파전력을 상기 제 1 전극 또는 제 2 전극의 어느 한 쪽에 자유롭게 변환 인가하도록 구성하고, 또한 상기 제 1, 제 2 전극을 접지가 자유롭게 구성하면, 제 1 전극에 대하여 인가하는 한편, 제 2전극을 접지하는 모드와, 그 반대로 제 1 전극 쪽을 접지하여 제 2 전극에 고주파전력을 인가하는 모드와의 2개의 플라즈마 처리모드가 얻어진다.
따라서, 1개의 처리용기에 있어서, 2개의 상이한 플라즈마 처리모드가 얻어져서, 예를 들어 제 1 전극상에 피처리체를 얹어놓게 하여 이 피처리체에 대하여 에칭처리를 하는 경우, 전자의 모드에서는, DC바이어스를 크게 한 에칭처리를 할 수 있고, 후자의 모드에서는 DC바이어스가 작은 에칭처리를 하는 것이 가능하다. 그 때문에 동일처리 용기내에서 상이한 처리를 연속하여 행하거나, 프로세스의 어플리케이션의 확대를 꾀할 수 가 있다.
이 경우, 고주파전력의 인가측 전극을 변환한 때에, 동시에 다른 전극이 변환 접지되므로, 예컨대 1개의 릴레이계의 변환에 의해서, 상기한 2개의 모드 변환을 실행할 수 있다.
이상의 각 플라즈마 처리장치에 있어서 고주파전력의 출력을 주기적으로 변조하도록 구성하면, 플라즈마밀도의 고저를 반복하는 것이 가능하고, 플라즈마 안의 가스성분의 해리콘트롤을 실시할 수 있으며, 예를 들어 콘택트홀의 에칭처리에 있어서는, 고출력시에 에칭을 진행시키는 반면, 저출력시에는 홀내의 에칭반응생성물을 배출시키는 프로세스를 채택하는 것이 가능하게 된다. 따라서 에칭레이트를 높게 함과 동시에, 홀 바닥부와 홀입구와의 크기의 차를 작게 억제하는 수직이방성이 뛰어난 에칭을 실시할 수가 있다.
이 경우, 최소시의 출력이, 최대시의 출력의 1/2∼l/5범위가 되도록 설정하면, 플라즈마상태를 유지하면서 또한 그와 같이 에칭 반응생성물의 배출에 있어서 바람직한 상태가 되도록 할 수 있다.
상부전극과 하부전극과의 사이의 갭길이를, 10∼40mm, 바람직하게는 15∼30mm,특히 25mm 전후로 설정하여 상하대향의 전극에 각각 상대적 고주파전력, 상대적 저주파전력을 인가하고 플라즈마를 발생시키면, 후술하는 실시예에서 상술하는 바와 같이, 에칭율, 균일성 및 플라즈마의 안정도에 관해서 균형잡힌 처리를 실행하는 것이 가능하다.
상부전극 쪽을 먼저 인가하고, 하부전극 쪽을 그보다 늦게 인가시켜서 플라즈마를 발생시키도록 하면, 하부전극상에 얹어놓이는 피처리체에 대하여 과대한 전압이 인가되지 않아서, 플라즈마를 쉽게 발생시키고, 또한 그 피처리체에 대하여 손상을 줄 위험이 적다.
또한 플라즈마를 소멸시킬 때에도, 먼저 하부전극측의 인가전력을 정지시키고, 이어서 늦게 상부전극측의 인가전력을 정지시키도록 하면, 데포지션이 진행하지 않아서 피처리체에 대한 손상을 방지하는 것이 가능하게 된다. 즉, 피처리체가 얹어놓이는 하부전극에만 전압을 인가하는 상태를 피하고 있으므로, 피처리체에 대하여 과대전압으로부터의 보호를 꾀할 수 있다. 또한 늦추는 타이밍은, 예컨대 1초 이하로 설정하면 소기의 효과를 얻을 수 있으므로 유효하다.
바람직하게는, 임피던스와 위상을 각각 독립하여 제어하도록 정합수단이 구성될 수 있으므로, 외란(外亂)에 대하여 영향을 받기 어렵고 또한 부하변동에 대하여도 정합시키기가 용이하게 되어 있다.
처리용기내압은, 바람직하게는 5mTorr∼100mTorr에 설정될 수 있으므로, 높은 진공도하에서의 고미세가공이 가능해진다.
플라즈마 발생영역으로부터 가스배출수단을 통해서 가스를 배출하는 경우에, 가스유통 콘덕턴스를 낮추기 위해서, 가스안내수단 또는 플라즈마 밀폐수단의 아래면에는, 가스배출수단쪽을 향한 테이퍼면을 형성하여 두는 것이 바람직하다. 이 테이퍼면은, 상부전극의 주위에, 이보다 아래쪽에 돌출한 가스확산 원통체의 아래면에 아래쪽의 바깥쪽으로 소정의 각도, 바람직하게는, 수평면에 대하여 25°∼ 35°로 형성될 수 있다.
바람직하게는, 상부전극의 표면의 적어도 일부는 SiO2로 형성되고, 또는 상기 포커스링 표면의 적어도 일부는 BN 또는 이것을 포함하는 물질로 형성되며, 처리가스에 의해서 생성되는 불소 래디컬에 의해서, 실리콘의 바탕이 지나치게 에칭되는 것을 막을 수가 있다.
(실시예)
이하, 본 발명의 실시예를 첨부도면에 의거하여 설명한다.
제 1 도는 본 실시예를 실시하기 위해서 이용한 에칭처리장치(1)의 단면을 모식적으로 나타내고 있으며, 이 에칭처리장치(1)는, 전극판이 평행하게 대향한 소위 평행평판형 에칭장치로서 구성되어 있다.
이 에칭처리장치(1)는, 예를 들어 표면이 산화알루마이트 처리된 알루미늄 등으로 이루어진 원통형상으로 형성된 처리용기(2)를 가지고 있으며, 이 처리용기(2)는 전기적으로 접지되어 있다.
상기 처리용기(2) 내에 형성되는 처리용기내의 바닥부에는 세라믹 등의 절연판(3)을 통해서, 피처리체, 즉 반도체웨이퍼(이하, [웨이퍼]라고 한다)(W)를 얹어놓기 위한 거의 원주형상의 서셉터지지대(4)가 수용되고, 또한 이 서셉터지지대(4)의 상부에는, 하부전극을 구성하는 서셉터(5)가 설치되어 있다.
상기 서셉터지지대(4)의 내부에는, 원형고리형상의 냉매실(6)이 설치되어 있고, 이 냉매실(6)에는 예컨대 퍼플루오로폴리에테르 등의 온도조절용 냉매가 냉매도입관(7)을 통해서 도입할 수 있고, 도입된 냉매는 이 냉매실(6)내를 순환하며, 그 사이 발생하는 냉열은 냉매실(6)로부터 상기 서셉터(5)를 통해서 상기 웨이퍼(W)에 대해서 열전달되고, 이 웨이퍼(W)의 처리면을 소망하는 온도까지 냉각하는 것이 가능하다.
상기 서셉터(5)는, 그 윗면이 평탄하게 형성되고, 그 위에 웨이퍼(W)와 거의 동일한 규격의 정전척(11)이 설치되어 있다. 이 정전척(11)은, 2장의 고분자 폴리아미드·필름에 의해서 도전층(12)이 끼워진 구성을 가지고 있으며, 이 도전층(12)에 대하여 처리용기(2) 외부에 배치되어 있는 직류고압전원(13)으로부터, 예를 들면 1.5kV의 직류고전압을 인가하도록 함으로써, 이 정전척(11) 윗면에 얹어놓인 웨이퍼(W)는, 쿨롱의 힘에 의해서 그 위치에서 흡착 지지된다.
상기 서셉터(5)의 윗끝단 둘레부에는, 정전척(11) 위에 얹어놓인 웨이퍼(W)를 둘러싸도록, 고리형상의 포커스링(15)이 배치되어 있다. 이 포커스링(15)은 반응성이온을 끌어당기지 않는 절연성재질로 이루어져서, 플라즈마에 의해서 발생한 반응성이온을, 그 내측의 웨이퍼(W)만 효과적으로 입사하게 한다.
상기 서셉터(5)의 윗쪽에는, 이 서셉터(5)와 평행하게 대향하고, 이보다 약 10∼40 mm 정도, 바람직하게는 15∼30 mm 떨어진 위치에, 아래면이 위치하는 상부전극(21)이, 절연재(22)를 통해서, 처리용기(2)상부에 지지되어 있다. 이 상부전극(21)은, 상기 서셉터(5)와 대면하고, 다수의 확산구멍(23)을 가지는 예컨대 SiC 또는 아모퍼스 카본으로 이루어진 전극판(24)과, 이 전극판(24)을 지지하고, 도전성재질, 즉 표면이 산화알루마이트 처리된 알루미늄으로 이루어진 원통형상의 전극지지체(25)와, 상기 절연재(22)가 바깥둘레에 배치된 가스도입포트(28)에 의해서 구성되어 있다. 이 상부전극(21)과 상기 하부전극(5)과의 사이에 플라즈마가 발생되는 영역이 규정되어 있다.
이 전극지지체(25)의 바깥둘레에는, 고리형상의 절연재(26)를 통해서, 제 2도에 나타낸 바와 같은 제 3 전극으로 된 접지전극(27)이 설치되어 있다. 이 접지전극(27)은, 제 1 도에 나타난 바와 같이, 그 하단부가 전출포커스링(15)의 상단부와의 사이에 처리가스 및 웨이퍼(W)가 통과할 수 있는 틈이 지지하여 설치되고, 또한 그 안둘레는, 제 1도, 제 2도에 나타낸 바와 같이, 안쪽으로 돌출한 형태를 가지고 있다. 그리고 이 접지전극(27)은, 상기 서셉터(5)와 전극판(24)과의 사이의 공간영역을, 측부로부터 둘러싸도록 배치되어 있다.
상기 상부전극(21)의 지지체(25)중앙에 설치된 가스도입포트(28)에는, 가스도입관(29)이 접속되어 있다. 이 가스도입관(29)에는, 가스공급관(30)이 접속되어 있고, 또한 이 가스공급관(30)은 3개로 분기되어, 각각 밸브(31,32,33) 및 매스플로우 콘트롤러(34,35,36)를 통해서, 각각 대응하는 처리가스공급원(37,38,39)으로 통하여 있다.
본 실시예에 있어서는, 제 1 의 처리가스공급원(37)으로부터는 CF4가스, 제 2 처리가스공급원(38)으로부터는 Cl2가스, 제 3 처리가스공급원(39)로부터는 불활성 파지가스인 N2가스가 공급되도록 설정되어 있다.
상기 처리용기(2)의 둘레측 근방의 하부에는 배기관(41)이 접속되어 있고, 이 처리용기(2)와 게이트 밸브(42)를 통해서 인접하고 있는 로드록실(43)의 배기관(44)이 함께, 터보분자펌프 등의 진공흡인수단(45)으로 통하여 있어, 소정의 감압분위기까지 진공흡인할 수 있도록 구성되어 있다. 그리고 상기 로드록실(43)내에 설치된 반송아암 등의 반송수단(46)에 의해서, 피처리체인 웨이퍼(W)는, 상기처리용기(2)와 이 로드록실(43)과의 사이에서 반송되도록 구성되어 있다.
또한, 상기 에칭처리장치(1)의 처리용기(2)내의 전극간(1g)에 플라즈마를 발생시키기 위한 고주파전력은, 예컨대 13.56MHz의 고주파를 발진시키는 2대의 고주파전원(51,52)에 의해서 공급된다. 한 쪽의 고주파전원(51)은, 정합기(53)를 통해서, 상부전극(21)에 접속되고, 고주파전력을 전극(21)에 인가한다. 다른 쪽의 고주파전원(52)은, 정합기(54)를 통해서, 서셉터(5)에 접속되고, 고주파전력을 서셉터(5)에 인가한다. 이와 같이 상부전극(21), 서셉터(5)로는, 각각 독립한 고주파전원에 의해서 고주파전력이 인가되도록 되어 있으므로, 이들 상부전극(21), 서셉터(5)에 인가하는 전압은, 각각 독립하여 가변한다.
상기 정합기(53)와 상부전극(21)과의 사이 및 상기 정합기(54)와 서셉터(5)와의 사이에는, 각각 인가되는 고주파전력의 전류위상신호를 검출하는 위상검출수단(55,56)이 각각 설치되어 있다. 이들 각 위상검출수단(55,56)에 의해서 검출된 위상신호는, 각각 위상콘트롤러(57)로 입력되며, 이 위상콘트롤러(57)는, 이 검출된 위상신호에 의거하여 전출고주파전원(51,52)에 대하여, 각각 위상이 180°다른 고주파를 발진시키도록, 각각 제어한다.
본 실시예에 관련된 에칭처리장치를 이용하여, 실리콘기판을 가지는 웨이퍼(W) 위의 실리콘산화막(SiO2)의 에칭을 실시하는 경우에 대하여 이하에 설명한다.
먼저 피처리체인 웨이퍼(W)는, 게이트 밸브(42)가 개방된 후, 반송수단(46)에 의해서 로드록실(43)로부터 처리용기(2)내로 반입되고, 정전척(11)위에 얹어놓인다. 그리고 고압직류전원(13)을 ON하고, 이 전극인가에 의해서 상기 웨이퍼(W)는, 이 정전척(11) 위에 흡착 지지된다. 그 후 반송수단(46)이 로드록실(43)내로 후퇴한 후, 처리용기(2)내는 배기수단(45)에 의해서 진공흡인 되어간다.
제 1 밸브(31)가 개방되고, 매스플로우 콘트롤러(34)에 의해서 그 유량이 조정되면서, 제 1 처리가스공급원(37)으로부터 CF4가스가, 가스공급관(30), 가스도입관(29), 가스도입포트(28)를 통해서 상부전극(21)내로 도입되고, 또한 전극판(24)의 확산구멍(23)을 통해서, 제 1 도 중에 화살표로 나타난 바와 같이, 상기 웨이퍼(W)방향으로 토출된다. 이들 확산구멍(23)의 분포를 선정함으로써, 토출가스는 균일한 분포가 될 수 있다.
처리용기(2)내의 압력은 예컨대 5mTorr∼100mTorr로 설정, 유지된 후, 고주파전원(51,52)이 작동하고, 그 전류위상이 서로 180°다른 고주파전력이 각각 상부전극(21)과 서셉터(5)에 인가되어, 이들 상부전극(21)과 서셉터(5)와의 사이에 플라즈마가 발생하고, 상기 처리용기(2)내에 도입된 CF4가스를 해리시켜서 발생한 래디컬성분에 의해서, 웨이퍼(W)에 대하여 소정의 에칭이 행하여진다.
이러한 에칭처리에 있어서의 플라즈마는, 이미 상술한 바와 같이 상부전극(21)과 서셉터(5)와의 사이에 발생하는데, 상기한 바와 같이, 제 3 전극 또는 접지전극(27)은, 상기 상부전극(21)과 서셉터(5)와의 공간영역을 측부로부터 둘러싸도록 하여 배치되어 있으므로, 그 공간영역으로부터 가로 바깥쪽을 확산하려고 하는 이온은, 이 접지전극에 의해서 끌어당겨져서, 그 공간영역 외부, 즉 처리용기(2) 내벽으로 확산하지는 않는다. 따라서, 상기 공간영역, 즉 웨이퍼(W)에 대한 처리영역내의 플라즈마밀도는 높게 유지할 수 있고, 이에 의해서 웨이퍼(W)에 대하여 고미세가공이 가능하게 된다. 이 때에 처리가스는, 일정한 유속으로 접지전극(27)과 포커스링(15)과의 간격으로부터 배출된다.
또한 이온이 처리용기(2) 내벽으로 확산하는 것이 억제되므로, 처리중에, 이 처리용기(2) 내벽이 에칭되거나, 반응생성물이 부착되는 일이 없다. 대신에 접지전극(27)의 안둘레면이 에칭되거나 반응생성물이 부착되거나 하는데, 이 안둘레면의 형상을 선정함으로써 최소로 눌려진다. 이 접지전극(27)은 경량 또는 콤팩트하므로, 상부전극(21) 또는 처리용기(2)에 용이하게 붙이고 뗄 수 있도록 장착될 수 있으므로 관리유지 또는 교환이 용이하다. 그 공간영역 외부, 즉 처리용기(2) 내벽으로 확산하지 않는다. 따라서, 상기 공간영역, 즉 웨이퍼(W)에 대한 처리영역내의 플라즈마밀도는 높게 유지할 수 있고, 이에 의해서 웨이퍼(W)에 대하여 고미세가공이 가능하게 되어 있다. 이 때에 처리가스는, 일정한 유속으로 접지전극(27)과 포커스링(15)과의 간격으로부터 배출된다.
또한 이온이 처리용기(2) 내벽으로 확산하는 것이 억제되어 있으므로, 처리중에, 이 처리용기(2) 내벽이 에칭되거나, 반응생성물이 부착하지 않는다. 대신하여 접지전극(27)의 안둘레면이 에칭되거나 반응생성물이 부착하거나 하는데, 이 안둘레면의 형상을 선정함으로써 최소도 억제된다. 이 접지전극(27)은 경량 또한 콤팩트이므로, 상부전극(21) 또는 처리용기(2)에 용이하게 붙이고 뗄 수 있도록 장착될 수 있으므로 관리유지 또한 교환이 용이하다. 따라서, 오염이 발생하는 일은 적으며, 따라서, 이 점으로부터 수율이 저하하지 않는다.
그리고 플라즈마를 발생시키기 위해서 상부전극(21)과 서셉터(5)에 각각 인가된 고주파전력은, 그 전류위상이 180°다르므로, 처리가스의 종류, 감압도와는 관계없이 고주파전력을 플라즈마에 투입할 수 있고, 웨이퍼(W)에 입사하는 이온전류밀도를 증대시킬 수 있다.
즉, 대향하는 전극간에 관련된 고주파전력의 주파수 위상차를 변화시킨 경우, 플라즈마의 상태는 변화한다(예로서, 특개평 2-224239). 예를 들어 2개의 고주파전력의 전압위상이 거의 같은 경우, 플라즈마는 확산되고, 밀도도 낮아지게 되어 처리속도가 저하된다. 한편, 전압위상차가 180°어긋나 있는 경우에는, 플라즈마밀도는 높아지게 된다. 그러나, 예를 들어 주파수가 380kHz와 13.56MHz의 경우에서는, 플라즈마밀도가 가장 높아지는 전압위상차는 상이하다. 이것은 플라즈마의 임피던스가 변화하기 때문이라고 생각된다.
마찬가지로, 처리가스의 조성을 변화시키면, 가스의 전리(電離)단면적의 특성, 또는 해리의 특성차에 의해서도, 플라즈마의 임피던스가 변화하여, 최적의 전압위상차는 변화해 버린다.
따라서, 종래와 같이 전압위상을 제어하고 고주파전력을 인가하는 방식에서는, 그와 같이 플라즈마 임피던스의 변화에 의해서, 한 쪽의 전극으로부터 흘러들어온 전류가, 위상차에 의해서 대향전극에 흘러들어오는 전압관계로 되어 있지 않은 경우, 상대전극이외의 예를 들면 처리용기 내벽으로 확산해 버리기 때문에, 플라즈마밀도가 가장 높은 상태를 실현하는 것은 어려웠다.
이 점, 상기와 같이 전류위상을 180° 다른 것으로 하여 제어함으로써 플라즈마 임피던스의 변화와 관계없이, 한쪽의 전극, 즉 상부전극(21)으로부터 다른 쪽의 대향전극인 서셉터(5)로 흘러들어가려고 한 때에는, 서셉터(5)의 위상은 그 전류를 흐르게 할 수 있는 관계에 있으므로, 전류는 효율좋게 흘러들어오고, 그 결과 플라즈마는 이들 상부전극(21)과 서셉터(5)사이에 밀폐되어 그 밀도가 높아진다.
또한 본 실시예에서는, 이미 상술한 바와 같이, 접지전극(27)에 의해서도 플라즈마가 밀폐되는 구성이기 때문에, 양자가 더불어 매우 높은 플라즈마밀도를 실현시킬 수 있고, 높은 미세가공을 가능하게 한다.
또한 상기 실시예에서 사용한 접지전극(27)은, 아래면에 가스흐름에 지장을 초래하지 않도록 테이퍼를 형성하기 위해서 내측에 볼록하게 형성된 형태를 가지고 있었으나, 이에 대신하여 예컨대 제 3 도에 나타낸 바와 같이, 안둘레면이 평탄한 간단한 원통형상의 접지전극(61)으로 하고, 이것을 절연재(62)를 통해서, 전극지지체(25)의 바깥둘레에 배치하고, 접지되어 있는 처리용기(2)와 이 접지전극(61)을 전기적으로 접속하는 구성으로 하여도 좋다. 이 경우, 나사 등의 수단에 의해서 접지전극(61)은 용기(2)를 붙이고 뗄 수 있도록 되어있고, 절연재(62)와 접지전극(25)과는 접촉 또는 떨어져 있는 것이 바람직하다. 대향플라즈마 발생영역을 보다 폐쇄된 공간으로 하기 위해서, 더욱 접지전극의 높이를 크게 한, 즉 아래쪽으로 길게 연장된 통형상의 형태로 하여도 좋다. 또한 이러한 경우에는, 그 대향플라즈마 발생영역내에 도입되는 처리가스의 배기를 충분히 확보하기 위해서, 제 4도에 나타낸 바와 같이, 이 접지전극(63)의 주위에, 여러개의 투과구멍(64)을 형성하여 두는 것이 바람직하다. 웨이퍼장전을 위해서 접지전극(61) 및/또는 서셉터(5)를 상하로 이동가능하게 하여도 좋다.
또한 제 3 전극수단은 제 5 도에 나타낸 바와 같은 1쌍의 접지전극(27,66)에 의해서 구성하여도 좋다. 이 접지전극(27,66)은, 제 5도로부터 알 수 있듯이, 각각 거의 원링형상을 이루고 있으며, 한 쪽의 접지전극(27)은, 상부전극(21)의 바깥둘레에 배치하고,(이 경우, 소위 배기링의 상부에 관련된 구성을 갖추게 하여도 좋다) 이에 의해서 상부전극(21)근방으로부터 확산하려고 하는 하전입자는, 접지전극(66)으로 빨아들여지고, 서셉터(5)근방으로부터 확산하려고 하는 하전입자는 접지전극(27)으로 빨아들여져서, 그 결과, 상부전극(21)과 서셉터(5)사이에 발생한 플라즈마는, 처리용기(2)의 내벽으로 확산하는 일이 적다.
또한 제 6 도에 나타낸 접지전극(66,67)은, 상기 전극의 형태를 대신하여 링형상으로 또한 내측면이 아래쪽 내측에 사면을 형성하도록 단면을 거의 삼각형으로 한 것이다. 이러한 구성의 접지전극(66,67)에 의하면, 예를 들어 윗측의 접지전극(67)은, 그 내측의 사면부가 서셉터(5)의 방향으로 향하여 있으므로, 상기 제 5 도에 나타낸 접지전극(27)보다도, 보다 효율좋게 하전입자를 끌어들일 수 있고, 또한 플라즈마 확산방지효과가 향상되어 있다.
또한 상기 제 5 도, 제 6 도에 나타낸 접지전극은, 양쪽 모두 상하대향구성을 하고 있었으나, 반드시 그와 같이 대향하는 구성으로 하지 않고 가로방향으로 시프트하여도, 플라즈마 확산효과는 얻어진다.
제 7 도에 나타낸 실시예의 장치의 접지전극(27)은 원형링형상으로 형성되어, 처리용기(2)의 윗벽에, 도시하지 않은 나사 등의 붙이고 떼는 수단에 의해서, 붙이고 떼는 것이 가능하게 윗면에 부착되어 있다. 이 결과, 이 접지전극은 용기(2)를 통해서 전기적으로 접지되어 있다. 이 접지전극(27)의 안둘레면과 상부전극(21)의 바깥둘레면과의 사이에는, 통형상의 절연부재(22)가 개재되고, 이렇게 해서 양자는 이 절연부재에 의해서 전기적으로 절연되어 있다. 이 접지전극(27)의 아래면은, 제 8 도에 나타낸 바와 같이, 절연부재(22)의 아래면과 함께, 아래쪽의 바깥쪽으로 향하는 테이퍼면(27a)으로 되어 있다. 이 실시예에서는, 테이퍼각도 θ 는, 30°로 설정되어 있는데, 25°∼ 35°의 범위가 바람직하다.
이 장치에서, 포커스링(15)의 윗면은, 제 7 도에 나타낸 바와 같이, 상기 접지전극(27)의 테이퍼면(27a)과 거의 평행이 되는 테이퍼면으로 되어 있다. 이 결과, 이들 테이퍼면 사이에서 처리가스의 배기통로가 규정되고, 처리용기(2)의 둘레벽 하부에 서로 등간격으로 형성된 여러개, 이 예에서는 2개의 배기관(41)방향의 처리가스의 흐름을 원활히 하고 있다.
제 7 도에 나타낸 실시예에 있어서 부호 49는, 서셉터(5)내에 설치되고, 예컨대 세라믹 히터로 이루어진 가열수단을 나타내며, 이 가열수단에 의해서, 서셉터(5)는 처리용기(2)의 외부에 설치된 전원(48)으로부터의 급전에 의해서 소정의 온도로 가열될 수 있다. 부호 24a는, 상부전극(21)내의 가스확산실내에 설치된 확산판을 나타낸다. 이 확산판(24a)은, 바닥판 또는 전극판(24)과 평행하게 연장해 나가고, 이것에는 전극판에 형성된 확산구멍(23)과 마찬가지인 다수의 확산구멍이,확산구멍(23)과 가로방향으로 시프트한 위치에 형성되어 있다.
상기 가스확산가이드(27)는, 이것과 절연부재(22)와 맞춘 폭이 15∼20mm가 되도록 설정되어있는 것이 바람직하다. 또한, 상부전극(21)의 전극판(24)은, 웨이퍼(W)와 중심이 일치하고, 또한 전극판 쪽이 약 10 % 긴 직경을 가지도록, 이들을 설정하는 것이 바람직하다. 가스확산가이드(27)의 테이퍼면은, 실시예와 같이 평면에 한정되지 않고, 위 또는 아래도 돌출한 굽은 면도 좋다
다음으로, 제 7 도에 나타낸 장치를 사용하여, 실제로 6 인치의 실리콘 웨이퍼(W)의 표면으로 형성된 산화막(SiO2)을 선택에칭한 경우에 대하여 설명한다.
이 때, 처리용기(2)내의 압력은 10mmTorr로, 처리가스인 CF4가스와 CHF3가스와의 유량비는 25/75sccm으로 하였다. 또한 온도는, 처리용기내의 하부가 약 20℃, 상부가 30℃, 측부가 40℃로 되도록 설정하였다. 그리고 상부전극(21)에는 2000W, 27MHz의 고주파전력을, 그리고 서셉터(5)에는 800W, 800kHz의 전력을 인가하였다.
이 조건에서의 웨이퍼 에칭결과를 제 9 도에 나타냈다. 이 도면에서 횡축은 웨이퍼의 중심으로부터 주변을 향하는 거리 (웨이퍼의 직경)를, 또한 횡축은 에칭속도를 나타낸다. 이 결과로부터, 웨이퍼의 중심이 최대의 에칭속도로 되어 있고, 주변으로 향함에 따라서 낮게 되어 있는데, 중심으로부터 50 mm떨어진 위치에서도 에칭속도는 X방향과, 이 X방향으로 직행하는 Y방향도, 각각 4000 Å/min 보다 높게 되어 있음을 이해할 수 있다. 또한, 측정점 평균에서도, 4072 Å/min으로 꽤 높아지고 있다.
에칭속도의 균일성(U)에 관해서는,
U(%) = (ERmax - ERmin)/2 ·ERave x 100 으로 나타내면, U = 6.3 % 이었다. 이 식에서, ERmax는, 웨이퍼(W)위의 최대에칭속도, ERmin는 웨이퍼 위의 최소에칭속도, 그리고 ERave는 평균에칭속도이다.
이와 같이, 에칭속도가 높고 또한 웨이퍼전면에 걸쳐서 균일한 에칭이 얻어지는 것은, 접지전극(27)의 아래면을 아래쪽의 바깥쪽으로 경사진 테이퍼면으로 함으로써, 처리가스가 웨이퍼(W)를 향하여 균일한 분포로 안내되고, 또한 처리가 완료된 가스의 배기관(41)방향으로의 가스흐름이 원활하게 되어, 가스 유통콘덕턴스가 감소하기 때문이다.
이 효과와 비교하기 위해서, 테이퍼 아래면을 가지는 가스안내부재(접지전극)를 제거한 이외는, 상기와 마찬가지의 조건에서 에칭처리를 한 결과를 제 10 도에 나타냈다. 이 결과와 제 9 도에 나타낸 결과에 의해서, 실시예의 장치쪽이 에칭속도가 향상하고 있고, 웨이퍼(W)전반에 걸쳐서 약 1000Å/min 만큼이나 에칭속도가 높아지고 있는 것을 이해할 수 있다. 또한 이들 측정결과의 비교에서, 제 9 도에 나타낸 곡선 쪽이 제 10 도에 나타낸 곡선보다도 구배가 완만하여, 따라서 웨이퍼전면에서의 에칭속도의 균일성이 높다는 것이 이해될것이다. 실제, 제 10 도의 측정결과로부터 산출한 균일성(U)은 12.3 %로, 본 실시예의 장치의 6.3 %와 비교하여 실험장치에서는 균일성이 매우 나쁜 것이 판명되었다. 또한, X방향과 Y방향과의 균일성의 어긋남에 대해서도 본 실시예쪽이 뛰어나다는 것을 이해할 수 있을 것이다.
제 11 도에 나타낸 실시예의 장치는, 포커스링에 대신하여, 원링형상의 가스확산 배기가이드(47)가 서셉터(5)의 윗면 바깥둘레부에 설치되어 있다. 이 가이드는, 절연체로 형성하여도, 도체로 형성하여도, 또한 도체로 형성한 경우에는 접지하거나, 하지 않아도 좋다. 이 가이드 윗면은, 윗쪽의 바깥쪽을 향하여 경사진 테이퍼면으로 되어 있다. 이와 같은 장치에서도 제 7 도에 나타낸 장치와 마찬가지의 효과가 있다.
이상 설명한 제 7 도 및 제 11 도에 나타낸 예에서는, 접지전극의 아래면에 가스배기방향(배기관방향)을 향하여 경사진 테이퍼면을 설치한 가스 안내수단은, 이 접지전극과 반드시 병용할 필요는 없다. 예를 들어, 접지전극을 도체로 형성하는 대신에 절연체로 형성하여, 이것을 안내수단으로 하여도 좋다.
상기한 예에서는, 플라즈마확산의 방지를 도모하는 수단으로서, 상부전극(21), 서셉터(5)이외의 적어도 1개의 전극을 설치한 구성을 채택하였으나, 이에 대신하여 예컨대 제 12 도에 나타낸 바와 같이 다수의 자석을 상부전극(21)과 서셉터(5)의 근방주위에 대향하여 대치시켜도 좋다. 즉, 상부전극(21)에는, 전극지지체(25)의 하단부 바깥둘레에, 고리형상의 절연부재(71)가 설치되고, 이 절연부재(71)의 내부에 제 13 도에 나타낸 거의 원주형상의 영구자석(72)이, 고리형상으로 등간격으로 설치되어 있다. 본 실시예에서는, 제 12 도에 나타낸 바와 같이, 아래면측, 즉 서셉터(5)측에 모든 영구자석(72)의 N극이 위치하도록 하고, 또한 고리형상으로 배치함에 있어서의 간격은, 제 14 도에 나타낸 바와 같이, 서로 이웃하는 영구자석간의 중심각 θ가 20°∼50°, 바람직하게는 10° 가 되도록, 설정되어 있다.
제 12 도에 나타낸 바와 같이, 서셉터(5)의 상단부 바깥둘레에도, 고리형상의 절연부재(73)가 설치되고, 이 절연부재(73)의 내부에, 상기 영구자석(72)과, 바람직하게는 같은 형태, 같은 사이즈, 같은 자력을 가지는 영구자석(74)이 상기 각 영구자석(74)이, 상기 각 영구자석(72)과 대향하도록 동일갯수, 동일간격으로 배열 설치되어 있다. 이 서셉터(5)측에 배열 설치된 제 1 그룹의 영구자석(74)의 자극은, 상기 제 2 그룹의 영구자석(72)의 대향부분자극과는 다른 자극, 즉 S극이 상부전극(21)측에 위치하도록 하여 설정되어 있다. 따라서, 각 영구자석(72,74)의 자극관계는, 제 15 도에 나타내도록 되어 있다.
이와 같이 자석을 배치하면, 상부전극(21)둘레부와, 서셉터(5)주변부와의 사이에 원고리형상의 국소적인 자기장이 발생하고, 이 자기장에 의해서 상부전극(5)과 서셉터(5)사이 공간내의 하전입자가 전극간의 플라즈마영역의 외부로 튀어나오는 것을 트랩할 수 있으며, 플라즈마를 그 플라즈마 발생영역 내에 밀폐할 수 있다.
또한 자기장의 강점은, 너무나 과대하게 되면 플라즈마자체에 기울기를 발생시켜서 플라즈마 처리자체에 영향을 줄 우려가 있으므로, 피처리체인 웨이퍼(W)주변부의 자기장강도가 10 Gauss이하가 되도록 설정하는 것이 바람직하다.
상기한 국소적인 자기장의 형태를, 또한 바람직한 것으로 하기 위해서, 제 16 도에 나타낸 바와 같이, 예컨대 영구자석(72)의 상단부에 고리형상 자성체(75)를 설치하여 요오크로서 기능시키고 영구자석(72)과 병용하도록 하여도 좋다.
또한 제 12 도에 나타낸 예는, 상부전극(21)측에 배치된 영구자석(72)과, 서셉터(5)측에 배열 설치된 영구자석(74)은, 상하간에서는 서로 다른 자극구성으로 하였으나, 서로 이웃하는 상호간에서는, 동일한 자기장구성으로 되어 있었는데, 이에 대신하여, 제 12 도에 나타낸 바와 같이, 서로 이웃하는 자석상호간에도, 자극이 다르도록 배치하면, 서로 바람직한 작용효과가 얻어진다. 즉, 제 12 도에 나타낸 바와 같이 배치함으로써, 상하대향부분에 고리형상의 자기장이 형성될 뿐만 아니라, 서로 이웃하는 대향부분에도 자속이 발생하며, 이에 의해서 하전입자의 트랩체제가 보다 긴밀해진다. 따라서, 제 15 도의 경우보다도 플라즈마 밀폐작용이 더욱 향상한다.
그런데, 이미 상술한 바와 같이 오늘날에는 반도체 디바이스의 고집적화에 따라서, 그 제조과정에 있어서도, 보다 미세한 가공이 요구되고 있다. 예를 들면 에칭처리에 의해서 콘택트홀을 형성하는 경우에도, 홀직경이 0.3μm, 홀깊이가 1∼20μm가 되는 미세가공이 필요하게 되어 있다.
그러나 종래의 평행평판형 플라즈마장치에 있어서는, 항상 일정출력의 고주파전력을 인가하도록 하고 있으므로, 그와 같이 홀직경이 작아지면, 제 18 도에 나타낸 바와 같이, 에칭반응생성물(Z)이 배출되기 어렵게 되고, 홀(81)바닥부나 바닥부근방에 퇴적하여, 에칭가스와의 교체가 원활하게 행하여지지 않게 되고, 그 결과 제 18 도에 나타낸 바와 같이, 홀(81)형상이 역원추대(逆圓錐臺)의 형상이 되거나 에칭율이 저하하여, 고집적화에 대응한 미세가공을 할 수 없다는 문제가 발생하였다.
이러한 문제에 대처하기 위해서, 예를 들어 상기 플라즈마 처리장치(1)에서의 고주파전원(51,52)의 출력을 제어하고, 즉 제 19 도의 그래프에 나타낸 바와 같이, 10ms의 주기마다 출력의 대소를 반복하도록 하여 상부전극(21), 서셉터(5)에 인가하도록 하여도 좋다. 제 19 도에서는, 최대시의 출력이 1000W, 최소시의 출력이 그 l/5인 200W가 되도록 제어하고 있다. 이와 같이 제어함으로써, 커다란 전력시에는 플라즈마밀도를 높게 하여 에칭을 진행시키고, 작은 전력시에는 플라즈마밀도를 낮게 하여, 제 20 도에 나타낸 홀(82)내에 발생하는 에칭반응생성물의 배출을 촉진시키어, 에칭가스와의 교체를 원활하게 하고, 제 20 도에 나타낸 바와 같이 홀(82)의 입구와 바닥부의 직경이 동일한 홀을 형성시킬 수가 있다. 또한 상기한 파워의 최대, 최소 및 그 주기는, 목적하는 홀의 크기, 재질, 처리가스 등의 종류에 따라서, 적절하게 선택하면 된다.
상술한 플라즈마 처리장치(1)는, 플라즈마를 발생시키는 고주파전원을 2개 사용하여, 상부전극(21)과 서셉터(5)에 고주파를 인가하도록 구성하였으나, 변환에 의해서 어느 한 쪽의 전극을 항상 접지하고, 다른 전극에만 인가하는 것이 자유롭도록 구성하여 두면, 1개의 장치구성에 의해서 2개의 상이한 모드의 에칭처리를 실시하는 것이 가능하게 된다.
또한 1개의 고주파전원을 사용하여 이러한 변환을 행하는 것도 가능하다. 제 21 도에 나타낸 예는, 1개의 고주파전원(91)을 이용하여 그와 같은 2개의 상이한 모드의 에칭처리를 실시가능한 에칭처리장치(92)(이 도면에서는 에칭처리장치 자체를 간략하게 기재하고 있는데, 구성은 예컨대, 제 1 도 또는 제 7 도에 나타낸 것과 같다. 감압이 자유롭게 접지된 처리용기(2)내에는, 상하로 대향하여 상부전극(21)과 하부전극(5)이 설치되어 있다. 그리고 이 처리용기(2)의 상부에는, 제 1 진공릴레이(96)가 시일드박스(97)내에 넣어져 있으며, 상부전극(21)의 상기 고주파전원(91) 또는 처리용기(2)와의 접속교환을 담당하고 있다.
매칭박스(98) 내에는, 제 2 진공릴레이(99)가 넣어져 있으며, 하부전극(5)의 고주파전원(91) 또는 접지측으로의 변환과, 상기 제 1 진공릴레이(96)로 통하는 고주파전원(91)경로의 ON,OFF의 변환을 담당하고 있다.
이러한 구성을 가지는 에칭처리장치(92)에 의하면, 제 21 도의 상태에서는 DC바이어스가 큰 RIE(리액티브이온에칭)모드로 되어 있고, 상부전극(21)이 접지되고, 상부전극(5)에 고주파전원(91)으로부터의 고주파전력이 인가되며, 전극간에 존재하는 웨이퍼 등의 피처리체에 대하여, 고진공영역에서의 미세가공 및 수직형상에 제어성이 높은 에칭처리를 실시하는 것이 가능하다.
그리고 상기 제 1 진공릴레이(96), 제 2 진공릴레이(99)를 각각 변환하여 제 22 도의 DC바이어스가 작은 PE(플라즈마에칭)모드로 하면, 하부전극(5)이 접지되고, 상부전극(21)에 고주파전원(91)으로부터의 고주파전력이 인가되어, 전극간에 존재하는 웨이퍼 등의 피처리체에 대한 손상이 작고, 치수제어가 높은 에칭처리를 실시할 수가 있다.
따라서, 제 1 진공릴레이(96),제 2 진공릴레이(99)의 변화만으로, 동일한 피처리체에 대하여 2개의 상이한 에칭처리를, 동일처리용기내에서 연속하여 실시하는 것이 가능하며, 프로세스의 어플리케이션의 확대를 도모할 수 있다.
또한, 다른 실시예에 대하여 설명하면, 제 23 도는, 상하대향전극에 주파수가 다른 고주파전력을 인가하는 구성을 가지는 에칭장치(101)의 단면을 모식적으로 나타내고 있고, 이 에칭장치(101)에서의 처리용기(2)는, 기밀하게 폐쇄가 자유로운 산화알루마이트 처리된 알루미늄 등으로 이루어진 원통형상으로 형성되며, 그 처리용기(2)자체는 접지되어 있다.
상기 서셉터(5)와 냉매실(6)과의 사이에는, 예컨대 세라믹히터 등의 가열수단(49)이 설치되어 있고, 상기 냉매실(6)의 냉열과 이 가열수단(49)에 의해서, 웨이퍼(W)는 소정의 온도로 설정, 유지하는 것이 가능하다.
상기 서셉터(5)의 상단둘레부에는, 링형상의 절연재(5a)가 메워져 있고, 이 위에는 고리형상의 포커스링(15)이 배치되며, 또한 이 포커스링(15)의 바깥둘레에는, 고리형상의 아래쪽 접지전극(66)이 설치되어 있다. 상기 서셉터(5)의 윗쪽에는, 서셉터(5)와 평행하게 대향하여, 갭길이 약 25mm로, 상부전극(21)이 처리용기(2)의 상부에 지지되어 있다. 이 상부전극의 바깥둘레면에 부착된 통형상의 절연지지재(26)의 바깥둘레에는, 또한 상부전극(21)을 둘러싸도록 하여, 고리형상의 윗쪽 접지전극(27)이 설치되어 있다. 그리고 이 윗쪽 접지전극(27)과 상기 아래쪽 접지전극(66)의 각 바깥둘레부는, 제 24 도에 나타낸 바와 같이, 상하방향으로 겹쳐지도록 접지되어 있다. 즉, 양자(27,66)는 동심적으로 설치되고 또한 바깥지름이 같아지도록 구성되어 있다.
다음으로 이 에칭장치(101)에서의 하부전극이 되는 서셉터(5)와 상부전극(21)에 대한 고주파전력의 인가 구성에 대하여 설명한다. 먼저 서셉터(5)에 대해서는, 예를 들면 주파수가 800kHz의 상대적 저주파를 출력하는 상대적 저주파전원(52)의 전력이 정합기 또는 매칭회로(54)를 통해서 인가된다. 이 정합기(54)는, 제 23 도에 나타낸 바와 같이, 유도코일(133)과 가변용량(134)이 직렬로 접속되고, 또한 한 끝단부가 접지된 다른 가변용량(135)의 다른 끝단부가 상기 가변용량과 서셉터(5)와의 사이에 접속되어 구성되어 있다. 이러한 구성에 의해서, 상기 유도코일(133)과 가변용량(134)에서 상대적 저주파전원(52)으로부터의 전력의 임피던스를 개별적으로 제어하고, 또한 가변용량(135)에서 그 위상을 개별적으로 제어하여 매칭시키는 것이 가능하다.
한편 상부전극(21)에 대해서는, 정합기(53)를 통해서, 주파수가 예컨대 27MHz의 상대적 고주파 전력을 출력하는 상대적 고주파전원(51)으로부터의 고주파가 인가되는 구성으로 되어 있다.
본 실시예에 관련된 에칭장치(101)의 주요부는 이상과 같이 구성되어 있고, 예를 들면 실리콘웨이퍼(W)의 산화막에 대하여 에칭처리하는 경우의 작용 등에 대하여 설명하면, 처리용기(2)내에 처리가스공급원(37)으로부터의 CF4가스가 공급되고, 처리용기(2)의 압력이, 예컨대 10mTorr로 설정, 유지된 후, 먼저 상부전극(21)에 대하여 상대적 고주파전원(51)으로부터 주파수가 27MHz의 상대적 고주파가 인가된다. 이어서 이보다 1초 이하의 타이밍을 가지고, 서셉터(5)에 대하여 상대적 저주파전원(52)으로부터 주파수가 800kHz의 상대적 저주파가 인가되어, 상부전극(21)과 서셉터(5) 사이에 플라즈마가 발생한다. 그와 같이 서셉터(5)측의 구동을 늦추어서 인가시킴으로써, 과대한 전압에 의해서 웨이퍼(W)가 손상받을 우려는 없다.
그리고 발생한 플라즈마에 의해서 해리한 CF4가스의 래디컬 성분에 의해서 웨이퍼(W)표면의 실리콘산화막(SiO2)이 에칭되어간다. 이 경우, 먼저 상부전극(21)의 주위에 위치하는 접지전극(27)과, 서셉터(5)의 주위에 위치하는 접지전극(66)에 의해서 발생한 전위에 의해서 플라즈마는 밀폐되고, 그 확산이 방지되어 높은 밀도가 유지된다.
본 실시예의 경우, 특히 제 24 도에 나타낸 바와 같이, 윗쪽 접지전극(27)과 아래쪽 접지전극(66)의 바깥둘레부는, 상하방향으로 겹쳐지도록 설치되어 있으므로, 플라즈마를 밀폐하는 효과가 매우 커지고 있다. 즉, 제 25 도에 나타낸 바와 같이, 예를 들면 접지전극(27) 쪽이 바깥둘레에 위치하고, 그 바깥둘레부가 상하방향으로 겹쳐져 있지 않으면, 플라즈마가 어느 정도 확산하여 버리는데, 본 실시예와 같이 바깥둘레부가 상하방향으로 겹쳐져 있으면, 플라즈마가 외부로 확산할 여지가 없어, 매우 높은 밀도를 확보할 수 있다. 따라서, 이 점에서 보아, 먼저 미세한 에칭처리가 가능하게 된다.
그런데 발명자들에 의하면, 상부전극(12)과 서셉터(5)와의 사이의 갭길이와, 에칭레이트, 에칭레이트의 균일도(웨이퍼(W) 위에서의 에칭율의 분포) 및 플라즈마의 안정도(플라즈마의 발생, 유지, 확산에서 본 안정도)와의 사이에는, 제 26 도와 관계가 있는 것이 확인되고 있다. 즉 갭길이가 길어질수록,에칭레이트(E/R)와 균일도(U)가 저하하나, 반면 플라즈마의 안정도(S)는 향상한다. 수율이 높고 또한 미세한 에칭처리를 실현하기 위해서는, 이들 3개의 요소가 균형있게 확보되어 있을 필요가 있는데, 발명자들이 얻은 결과에 의하면, 제 26 도의 그래프에 나타낸 바와 같이, 갭길이가 25mm주위에서 3개의 요소가 가장 균형있게 얻어지는 것을 알 수 있다.
이 점, 본 실시예에서는, 이미 상술한 바와 같이 상부전극(21)과 서셉터(5)와의 갭길이는, 25mm으로 설정되어 있으므로, 웨이퍼(W)에 대하여 수율이 높은 미세한 에칭처리를 실현하는 것이 가능하게 되어 있다. 또한 소망의 에칭처리는, 다종다양하므로, 반드시 이 25mm로 설정할 필요는 없으며, 제 26 도의 그래프로부터 알 수 있듯이, 갭길이가 l5mm∼35mm의 범위에서도 균형이 좋은 에칭처리가 10mm∼40mm사이에서도 비교적 균형좋은 에칭처리를 실현할 수 있다.
그런데 종래부터 이러한 종류의 고주파를 이용한 플라즈마 처리장치에 있어서는, 고주파를 매칭시키기 위하여, 고주파전원과 인가되는 전극, 예컨대 하부전극과의 사이에는, 제 27 도에 나타낸 정합기(151)가 설치되어 있다. 종래의 정합기(151)는, 하부전극(5)파 고주파전원(52)과의 사이에 가변코일(154,155)을 직렬로 접속하고, 또한 이들 가변코일(154,155)의 사이에, 한 끝단이 접지된 용량(156)의 다른 끝단이 접속된 구성을 가지고 있었다. 이에 의해서 폭넓은 범위의 조정(매칭)을 가능하도록 하였으나, 반면, 임피던스와 위상을 독립하여 제어할 수 없어서, 한 예컨대 상부전극으로부터의 주파수의 영향을 받기 쉽다는 문제도 가지고 있었다.
이 점, 본 실시예에 관련된 에칭장치(101)에서는, 이미 상술한 바와 같이,유도코일(133)과 가변용량(134)이 직렬로 접속되어 있고, 또한 한 끝단부가 접지된 가변용량(135)의 다른 끝단부가 병렬로 접속되어 상대적 저주파전원(52)으로부터의 전력의 임피던스와 위상을 독립하여 제어하는 것이 가능하므로, 조정이 용이하고 또한 상부전극(21)으로부터의 상대적 고주파의 영향을 받기 어렵게 된다. 따라서, 발생한 플라즈마가 매우 안정되어, 이 점으로부터도 소기의 에칭처리를 실현하는 것이 가능하다.
또한 본 실시예에 관한 에칭장치(101)에서는, 상부전극(21) 및 서셉터(5)는 양쪽 모두 고정식으로, 따라서, 이들 전극간의 갭도 25 mm으로 고정되어 있었으나, 상기한 제 26 도의 특성에 감안하여, 갭길이를 가변으로 하는 구성으로 하여도 좋다. 예를 들면 제 28 도에 나타낸 바와 같은 조절기구(161)에 의해서, 서셉터(5)를 상하로 자유롭게 움직이도록 구성하면, 상부전극(21)과 서셉터(5)와의 사이의 갭길이(d)는, 임의적으로 변화시키는 것이 가능하게 된다.
상기 각각의 실시예의 장치에서, 처리가스로서, CF4, C2F5, C3F8, C4F8, CHF3와 같이, C, F를 포함하는 처리가스를 사용하는 경우에는, 상부전극의 표면의 적어도 일부를 SiO2로 하는 것이 바람직하다. 이와 같은 구성의 상부전극은, 구체적으로는, 알루미늄과 같은 도전체로 상부전극의 모재를 구성하고, 그 표면을 SiO2막으로 덮음으로써, 예컨대 형성될 수 있다. 이 SiO2막은, 1∼2 mm정도가 바람직하고, CVD, 스퍼터링 등 이미 알고 있는 방법에 의해서 형성될 수 있다.
일반적으로, 상기와 같은 종류의 처리가스를 사용하는 경우, 예를 들면,CHF3를 사용하는 경우, 그 상태에서는 플라즈마에 의한 CHF3의 해리가 진행되어 지나치게 형성되는 불소래디켤(F*)에 의해서, 웨이퍼(W)인 실리콘의 밑바탕까지 에칭되어 버리고, 이 결과, 선택비가 저하할 우려가 있다. 이것을 방지하기 위해서, 처리가스에 CO가스를 첨가하고, 이에 의해서 실리콘의 밑바탕표면에 카본리치의 데포지션(일종의 보호막)을 형성하며, 상기 불소래디컬에 의해서, 실리콘의 밑바탕이 지나치게 에칭되는 것을 막는 방법이 알려지고 있다.
상기와 같이, 상부전극의 표면의 적어도 일부를 SiO2로 형성함으로써, C,F를 포함하는 처리가스를 도입하여 플라즈마에 의해서 이것을 해리시킨 경우에는,
등의 반응이 일어나고, 처리가스에 사전에 CO가스를 첨가한 것과 같은 효과가 있다.
한편, 상부전극의 표면의 적어도 일부를 SiO2로 형성하는 대신에 포커스링 표면의 일부를 BN 또는 이것을 포함하는 물질에 의해서 형성하여도 같은 효과가 있다.
이것은, 처리중에 과잉의 불소래디컬(F*)이 B와 결합하고,
의 반응이 발생하여, F3는 효과적으로 배기되므로, 플라즈마 중에서 불소래디컬이 감소하기 때문이다.
이와 같이 상부전극의 표면이 적어도 일부를 SiO2로 형성하는 기술과, 포커스링 표면의 일부를 BN또는 이것을 포함하는 물질에 의해서 형성하는 기술은, 어느 한 쪽을 채용하여도, 또한 양자를 병용하여도 좋다.
제 29 도에 나타낸 실시예의 장치에서는, 처리용기(2)의 안둘레면에, 오염 원인이 되는 데포지션이 부착하는 것을 효율좋게 방지할 수 있도록, 원통형상의 가열부재(200)가 처리용기(2)의 안둘레면과, 플라즈마 발생영역(상부전극과 하부전극과의 사이)과의 사이에서, 처리용기의 안둘레면근방에 설치되어 있다. 이 가열부재(200)는, 제 30 도에 나타낸 바와 같이, 석영이나 세라믹으로 이루어진 원통체(201)내에, 저항발열체나 세라믹히터 등의 전기적 가열수단인 가열체(202)가 매설된 구조로 되어 있다. 이 가열체(202)를 완전히 원통체(201)내에 매설하고, 외부로 노출되는 일이 없도록 함으로써, 처리용기(2)내를, 예컨대 10mTorr와 같은 고진공으로 하여도 지장이 없도록 하고 있다. 가열체(202)는, 전원(203)에 접속되고, 이 결과, 가열부재는 40℃∼200℃ 범위의 임의의 온도에 가열제어된다. 이 가열부재(200)에 의해서, 처리용기(2)의 안둘레면이 효율좋게 가열되므로, 이 안둘레면에 데포지션이 부착하는 것이 방지될 수 있다.
상기 가열부재(200)는, 처리용기의 윗벽으로부터 매달거나, 서셉터(5) 위에 배열 설치하는 등의 방법으로, 설치될 수 있는데, 웨이퍼(W)의 반송에 지장이 없도록 하기 위해서, 이 가열부재를 상하로 이동이 가능하게 배열 설치하거나, 서셉터를 상하로 이동가능하게 설치하는 것이 바람직하다.
다음으로, 본 발명의 실시예에 관련된 에칭장치에, 에칭처리용기의 열화(劣化)를 방지할 수 있음과 동시에, 금속에 의한 반도체 웨이퍼 등의 오염을 확실하게 방지할 수 있도록 카본을 적용하는 기술사상을 제 31 도 및 제 32 도를 참조하여 설명한다.
원통형상의 에칭처리용기(2)는, 재질 즉 표면에 알루마이트처리를 한 알루미늄으로 이루어진 바닥이 있는 원통형상의 에칭처리용기 하부(2a)와, 이 에칭처리용기 하부(2a)의 상부 개구를 기밀하게 페쇄하도록 배치되고, 같은 재질로부터 원판형상으로 형성된 에칭처리용기 상부(2b)로 구성되어 있다. 또한, 이들 맞닿는 부에는, 내부를 기밀하게 지지하기 위한 O링(2d)이 배열 설치되어 있다.
에칭처리용기 하부(2a)의 측벽부에는, 제 32 도에도 나타낸 바와 같이, 반도체웨이퍼(W)를 도입, 반출하기 위한 개구(2c)가 대향하도록 양측에 형성되어 있고, 이들 개구(2c)의 외측에는, 각각 게이트 밸브(42)를 통해서, 로드록실(5)이 배열 설치되어 있다. 이들 로드록실(43)내에는, 각각 반도체 웨이퍼(W)를 반입, 반출하기 위한 반송기구(46)가 배열 설치되어 있으며(한 쪽만 도시한다), 통상, 한 쪽의 로드록실(43)이 반입전용, 다른 쪽의 로드록실(43)이 반출전용으로 된다. 또한, 도면중 42a는, 각 로드록실과 외부를 차단, 해방하기 위한 게이트 밸브이다.
에칭처리용기(2)내에는, 재질 즉 세라믹으로 이루어진 절연성의 지지부재(3)에 지지되도록, 재질 즉 표면에 알루마이트처리를 한 알루미늄으로 이루어지고, 원판형상으로 형성된 서셉터, 즉 하부전극(5)이 배열 설치되어 있다. 이 하부전극(5)은, 매칭회로(54)를 통해서 고주파전원(52)에 접속되어 있고, 하부전극(5)내에는,냉각을 위한 냉매순환경로(12)가 배열 설치되어 있다. 또한, 하부전극(5)의 윗면은, 반도체웨이퍼(W)를 예컨대 정전척 등에 의해서 흡착지지가 가능하도록 평면형상으로 형성되어 있다.
한편, 에칭처리용기 상부(2b)의 상기 하부전극(5)에 대향하는 부위는 상부전극(21)으로 되어 있다. 이 상부전극(21)에는, 도시하지 않은 가스공급원으로부터 도출된 가스공급배관(29)이 접속되어 있고, 가스공급배관(29)으로부터 공급된 소정의 에칭가스는, 상부전극(21)내에 형성된 가스확산용의 틈(216)내에서, 다수의 투과구멍(216)이 형성된 가스확산판에 의해서 확산되고, 상부전극(21)의 아래측면에 형성된 다수의 투과구멍이 형성된 다수의 투과구멍(216)으로부터, 하부전극(5) 위에 얹어놓인 반도체웨이퍼(W)를 향하여 균일하게 공급되도록 구성되어 있다.
에칭처리용기(2)의 하부에는, 배기펌프(45)에 접속된 배기배관(41)이 접속되어 있고, 하부전극(5)의 주위에는, 하부전극(5)의 주위로부터 균일한 배기가 행하여지도록, 제 32 도에 나타낸 바와 같이 다수의 투과구멍이 형성된 배플판(219)이 수평으로 배열 설치되어 있다.
상기 배플판(219)은, 카본으로 구성되어 있고, 배기배관(41)은, 에칭처리용기(2)로부터 소정거리, 즉 수십 cm∼1m 정도, 그 내부가 카본의 코팅피막(41a)에 의해서 덮어져 있다. 또한, 상부전극(21)의 아래측면은, 카본제의 판(220)에 의해서 덮어져 있고, 상부전극(21)의 투과구멍(216) 내는, 카본의 코팅피막(216a)에 의해서 덮어져 있다. 또한, 에칭처리용기(2)내에는, 그 내측벽면을 덮도록 카본제의 원통(221)이 배열 설치되어 있다.
상기 카본제의 원통(221)에는, 2개의 개구(2c)에 따라서 각각 개구부(222)가 형성되어 있고, 이들 개구부(222)를 개폐가 자유롭게 덮을 수 있도록, 각각 카본제의 셔터판(223)이 배열 설치되어 있다. 이들 셔터판(223)은, 제 32 도에 나타낸 바와 같이, 에칭처리용기 내벽면과 거의 같은 곡률을 가지는 원호형상의 판체로 이루어지고, 이들 셔터판(223)은, 샤프트(224)를 통해서 에칭처리용기(2)의 외부에 설치된 에어실린더(225)에 접속되어 있으며, 이 에어실린더(225)의 신축동작에 의해서 상하로 움직이도록 구성되어 있다. 또한, 에칭처리용기(2)의 샤프트(224)관통부에는, 이들 부재사이의 기밀함을 유지하기 위한 구성으로서, 예컨대 벨로우즈기구(도시하지 않음)가 설치되어 있다.
상기 각 카본제부재, 즉, 배플판(219), 판(220), 원통(221),셔터판(223)은, 두께 즉 1∼20mm으로 설정되어 있다.
이와 같이 구성된 본 실시예의 에칭장치에서는, 사전에 배기펌프(45)를 작동시켜서 에칭처리용기(2)내를 소정의 진공도로 설정해 둔다.
그리고, 어느 한 쪽의 로드록실(43)의 게이트 밸브(42)를 열어서, 반송기구(46)에 의하여 반도체웨이퍼(W)를 로드록실(43)내에 반입하고, 이 후, 게이트 밸브(42)를 잠그어서 로드록실(43)내를 소정의 진공도로 설정하며, 이 후, 게이트 밸브(42)를 열음과 동시에 셔터판(223)을 개구(2c)의 앞으로부터 이동시켜서, 반송기구(46)에 의하여 하부전극(5) 위에 반도체 웨이퍼(W)를 얹어놓는다.
다음으로, 반송기구(46)를 에칭처리용기(2)내로부터 후퇴시키고, 게이트밸브(42)를 잠금과 동시에 셔터판(223)을 개구(2c)앞에 위치시키며, 이 상태에서, 가스공급배관(29)으로부터 소정의 에칭가스, 즉 Cl2+BCl3를 공급하고, 이와 더불어, 고주파 전원(52)으로부터 예컨대 13.56MHz의 고주파전력을 공급하여 에칭가스를 플라즈마화하고, 소위 리액티브이온에칭에 의해서, 반도체웨이퍼(W)에 에칭처리를 한다.
이 때, 본 실시예의 에칭장치에 있어서는 에칭처리용기(2)내의 플라즈마에 노출되는 부위는, 반도체웨이퍼(W)의 표면을 제외하고, 모두 카본으로 되어 있다. 이 때문에, 예컨대, 개구(2c)의 게이트 밸브(42)나 상부전극(21)의 투과구멍(216)내 등이 부식되고, 알루미늄 등에 의해서, 반도체웨이퍼(W)가 오염되는 것을 방지할 수가 있다. 또한 카본제의 판(220), 원통(221), 셔터판(223)등은, 에칭되어 소모하는데, 비교적 싼 가격으로 제조할 수 있는 이들 부재를 교환함으로써 대처할 수 있고, 에칭처리용기 하부(2a), 에칭처리용기 상부(2b)등의 열화를 방지할 수 있다.
또한, 알루미늄의 에칭을 행하는 경우, 판(220),원통(221),셔터판(223) 등으로부터 에칭된 카본작용에 의해서, 반도체웨이퍼(W)의 선택비의 향상을 도모할 수가 있다. 즉, 상부에 마스크로서의 포토레지스트가 형성된 비 에칭부분의 측벽부에 카본의 폴리머로 이루어진 측벽보호막이 용이하게 형성되어지고, 측벽부의 소위 앤더커트가 억제되어 선택비의 향상을 꾀할 수가 있다.
또한, 셔터판(223)이, 에칭처리용기 내벽면과 거의 같은 곡율을 가지는 원호형상의 판체로 구성되어 있으므로, 에칭처리용기내에 발생된 플라즈마가, 에칭처리용기의 내벽면을 따라서, 균일하고 또한 균등한 플라즈마밀도가 되고, 반도체웨이퍼(W)의 처리가 균일화되어 수율이 향상한다.
또한 상기한 각 실시예는, 양쪽 모두 피처리체가 반도체웨이퍼의 경우에 대하여 설명하였으나, 그것에 한정되지 않고 본 발명은, 예컨대 LCD기판을 처리대상으로 하는 장치구성으로 하는 것도 가능하다.

Claims (15)

  1. 처리용기와,
    상기 처리 용기에 설치된 제 1 전극을 가지고, 피처리체를 지지하는 지지수단과,
    상기 제 1 전극에 대향하여 설치되어 사이에 삽입된 상기 지지된 피처리체를 가지며, 상기 제 1 전극과의 사이에서 플라즈마 발생 영역을 규정하는 제 2 전극과,
    처리 가스를 플라즈마 발생 영역에 공급하는 수단과,
    상기 제 1 전극과 상기 제 2 전극중 최소한 하나에 RF 전원 전압을 공급하고, 상기 플라즈마 발생 영역내에 처리 가스의 플라즈마를 발생시킴으로써, 피처리체에 관련하여 플라즈마 처리를 수행하는 RF 전원 공급수단과,
    상기 제 1 전극의 둘레에 배치된 제 1 자석 어셈블리와
    상기 제 1 자석 어셈블리로부터 소정 거리에서 상기 제 1 자석에 대향하여 배치된 제 2 자석 어셈블리를 포함하고,
    상기 제 1 자석 어셈블리 및 제 2 자석 어셈블리는 피처리체의 표면에 수직하게 자계를 발생하여, 자계가 상기 플라즈마 발생 영역을 둘러싸도록 자계를 발생시킴으로써, 상기 플라즈마 발생영역내의 플라즈마를 밀폐하는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제 1 항에 있어서, 상기 제 1 자석 어셈블리 및 제 2 자석 어셈블리는 고리 형상으로 배열된 복수의 영구 자석을 갖고, 제 1 자석 어셈블리의 영구 자석 및 제 2 자석 어셈블리의 영구 자석은 숫자와 크기가 동일하고, 서로의 위치가대응하며, 서로 마주하는 대응 영구자석의 표면이 상이한 자극을가지는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제 2 항에 있어서, 상기 제 2 자석 어셈블리의 영구 자석의 표면에 대향하는 상기 제 1 자석 어셈블리의 영구 자석의 표면은 동일한 자극을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제 2 항에 있어서, 상기 제 2 자석 어셈블리의 영구 자석의 표면에 마주하는 상기 제 1 자석 어셈블리의 영구 자석의 표면은 상이한 자극을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  5. 처리용기와,
    상기 처리 용기에 설치된 제 1 전극을 가지고, 피처리체를 지지하는 지지수단과,
    상기 제 1 전극에 대향하여 설치되어 사이에 삽입된 상기 지지된 피처리체를 가지며, 상기 제 1 전극과의 사이에서 플라즈마발생 영역을 규정하는제 2 전극과,
    처리 가스를 플라즈마 발생 영역에 공급하는 수단과,
    상기 제1 전극과 상기 제 2 전극중 최소한 하나에 RF 전원 전압을 공급하고, 상기 프라즈마 발생 영역내에 처리 가스의 플라즈마를 발생시킴으로써, 피처리체에 관련하여 플라즈마 처리를 수행하는 RF 전원 공급수단과,
    상기 제 2 전극의 둘레에 배치되며, 제 2 전극측으로부터 제1 전극측으로 연장됨으로써, 플라즈마 발생 영역을 포위하는 원통형의 제 3 전극을 포함하고,
    상기 제 3 전극이 접지되고, 플라즈마로부터 하전입자를 흡수함으로써, 하전입자가 상기 제 3 전극의 외부로 확산되는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제 5 항에 있어서, 상기 제 3 전극은 상기 제 1 전극과 상기 제 3 전극사이에 처리 가스를 통과시키는 고리형상의 갭을 규정하는 연장된 단부를 가지는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제 6 항에 있어서, 상기 제 3 전극은 상기 플라즈마 발생 영역쪽으로 돌출된 부분을 갖는 방사선 방향의 표면을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제 5 항에 있어서, 상기 제 3 전극은 처리가스가 플라즈마 발생 영역으로부터 상기 제3 전극 외부로 흐르는 복수의 구멍을 갖는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제 5 항에 있어서, 상기 RF 전원 공급 수단은 동일 주파수를 갖는 RF 전압및 상기 제 1 전극과 상기 제 3 전극과 180°전압 위상차를 공급하는 것을 특징으로 하는 플라즈마 처리장치.
  10. 피처리체가 배치되는 처리용기와,
    상기 처리 용기에 설치된 제 1 전극을 가지고, 피처리체를 지지하는 지지수단과,
    상기 제 1 전극에 대향하여 설치되어, 사이에 삽입된 상기 지지된 피처리체를 가지며, 상기 제1 전극과의 사이에서 플라즈마 발생 영역을 규정하는제 2 전극과,
    처리 가스를 플라즈마 발생 영역에 공급하는 수단과,
    상기 제1 전극과 상기 제 2 전극중 최소한 하나에 RF 전원 전압을 공급하고, 상기 프라즈마 발생 영역내에 처리 가스의 플라즈마를 발생시킴으로써, 피처리체에 관련하여 플라즈마 처리를 수행하는 RF 전원 공급수단과,
    링 형상을 갖고, 상기 플라즈마 발생 영역을 포위하도록 상기 제 1 전극의 둘레에 배치되는 제 3 전극과,
    링 형상을 갖고, 상기 플라즈마 발생 영역을 포위하도록 상기 제 2 전극의 둘레에 배치되는 제 4 전극을 포함하고,
    상기 제 3 전극과 상기 제 4 전극은 처리 가스를 통과시키는 관형 갭을 정하고, 상기 제 3 전극 및 제 4 전극은 접지되고, 플라즈마로부터 하전입자를 흡수함으로써, 하전입자가 상기 제 3 전극 및 제 4 전극의 외부로 확산되는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제 10 항에 있어서, 상기 제 3 전극 및 상기 제 4 전극은 동일 외경을 갖는 링인 것을 특징으로 하는 플라즈마 처리장치.
  12. 처리용기와,
    상기 처리 용기에 설치된 제 1 전극을 가지고, 피처리체를 지지하는 지지수단과,
    상기 제 1 전극에 대향하여 설치되어 사이에 삽입된 상기 지지된 피처리체를 가지며, 상기 제1 전극과의 사이에서 플라즈마 발생 영역을 규정하는제 2 전극과,
    처리 가스를 플라즈마 발생 영역에 공급하는 수단과,
    상기 처리 용기 내에 설치된 최소한 1개의 처리 가스 배출 포트와,
    상기 제1 전극과 상기 제 2 전극중 최소한 하나에 RF 전원 전압을 공급하고, 상기 플라즈마 발생 영역내에 처리 가스의 플라즈마를 발생시킴으로써, 피처리체에 관련하여 플라즈마 처리를 수행하는 RF 전원 공급수단과,
    상기 지지 수단에 의해 지지된 피처리체를 밀폐하기 위해, 상기지지 수단에 설치되고, 상기 플라즈마 발생 영역을 부분적으로 밀폐하기 위기 위해 제 2 전극 측으로 연장된 제 1 링과,
    상기 제 2 전극 주위에 설치되고, 플라즈마 발생 영역을 부분적으로 밀폐하기 위기 위해 제 2 전극 측으로부터 제1 전극측으로 연장된 제 2 링을 포함하고,
    상기 제 1 링 및 제 2 링은 거의 평행하게 경사진 연장된 단부면 및 플라즈마 발생 영역으로부터 상기 처리 가스 배출 포트쪽으로 연장되고, 상기 제 1 링과 상기 제 2 링의 연장된 단부면사이에 규정되는 경사진 배출 통로를 갖는 것을 특징으로 하는 플라즈마 처리장치.
  13. 제 12 항에 있어서, 상기 제 1 링 및 제2 링의 연장된 단부면은 피처리체의 표면에 관련하여 25°와 35°사이의 각으로 경사지는 것을 특징으로 하는 플라즈마 처리장치.
  14. 제 12 항에 있어서, 상기 제 2 링이 도전 물질로 이루어져서, 접지되는 것을 특징으로 하는 플라즈마 처리장치.
  15. 제 12 항에 있어서, 상기 처리 용기는 복수의 처리 가스 배출 포트가 소정 간격으로 동일하게 떨어져 설치된 원통형 벽을 갖고, 경사 배출 경로는, 경사 배출 경로가 플라즈마 발생 영역으로부터 상기 배출 포트에 밀접하게됨에 따라 상기 제1 전극과 경사 배출 경로사이의 거리가 작아지는 방식으로 경사지는 것을 특징으로 하는 플라즈마 처리장치.
KR1019940040232A 1994-04-20 1994-12-31 플라즈마처리장치 KR100349064B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP94-106044 1994-04-20
JP10604494 1994-04-20
JP94-234093 1994-09-01
JP23409394 1994-09-01
JP94-252962 1994-09-20
JP25296394 1994-09-20
JP25296294 1994-09-20
JP94-252963 1994-09-20

Publications (1)

Publication Number Publication Date
KR100349064B1 true KR100349064B1 (ko) 2003-01-24

Family

ID=27526259

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940040232A KR100349064B1 (ko) 1994-04-20 1994-12-31 플라즈마처리장치

Country Status (3)

Country Link
US (1) US6074518A (ko)
KR (1) KR100349064B1 (ko)
TW (1) TW299559B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100915740B1 (ko) * 2006-10-02 2009-09-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 처리 가스 공급 방법 및 컴퓨터 판독 가능한 기억 매체
KR101490117B1 (ko) * 2007-05-08 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 기판 세정 챔버 그리고 세정 및 컨디셔닝 방법

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6267840B1 (en) * 1996-09-11 2001-07-31 Steven R. Vosen Low pressure stagnation flow reactor with a flow barrier
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US20030010453A1 (en) * 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7139083B2 (en) 2000-09-20 2006-11-21 Kla-Tencor Technologies Corp. Methods and systems for determining a composition and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP3833900B2 (ja) * 2001-03-28 2006-10-18 株式会社東芝 エッチング装置およびエッチング方法
KR100884414B1 (ko) * 2001-05-16 2009-02-19 램 리서치 코포레이션 애노드형 플라즈마 반응기 및 방법
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4847009B2 (ja) 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
US20040028837A1 (en) * 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US20040112544A1 (en) * 2002-12-16 2004-06-17 Hongwen Yan Magnetic mirror for preventing wafer edge damage during dry etching
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
WO2005055298A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited プラズマ処理装置及びマルチチャンバシステム
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
JP4527431B2 (ja) * 2004-04-08 2010-08-18 東京エレクトロン株式会社 プラズマ処理装置
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7214949B2 (en) * 2004-11-12 2007-05-08 Thorrn Micro Technologies, Inc. Ion generation by the temporal control of gaseous dielectric breakdown
US8200700B2 (en) * 2005-02-01 2012-06-12 Newsilike Media Group, Inc Systems and methods for use of structured and unstructured distributed data
US7381926B2 (en) * 2005-09-09 2008-06-03 Applied Materials, Inc. Removable heater
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8104428B2 (en) * 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US20080179288A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side scavenger plasma
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP5154124B2 (ja) * 2007-03-29 2013-02-27 東京エレクトロン株式会社 プラズマ処理装置
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
WO2009085163A1 (en) * 2007-12-19 2009-07-09 Lam Research Corporation A composite showerhead electrode assembly for a plasma processing apparatus
TWI501704B (zh) 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
JP5348919B2 (ja) * 2008-03-27 2013-11-20 東京エレクトロン株式会社 電極構造及び基板処理装置
US8721796B2 (en) * 2008-10-23 2014-05-13 Applied Materials, Inc. Plasma cleaning apparatus and method
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
WO2011033849A1 (ja) * 2009-09-15 2011-03-24 三菱電機株式会社 プラズマ生成装置
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
KR20110127389A (ko) * 2010-05-19 2011-11-25 삼성전자주식회사 플라즈마 처리 장치
WO2012092064A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9388493B2 (en) * 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
CN104103566B (zh) * 2013-04-15 2017-07-25 中微半导体设备(上海)有限公司 等离子体处理装置及其静电夹盘
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6570993B2 (ja) * 2015-12-16 2019-09-04 東京エレクトロン株式会社 プラズマ処理装置
JP6629116B2 (ja) * 2016-03-25 2020-01-15 芝浦メカトロニクス株式会社 プラズマ処理装置
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
KR102421455B1 (ko) * 2017-09-06 2022-07-18 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치
US20190301012A1 (en) * 2018-04-02 2019-10-03 Veeco Instruments Inc. Wafer processing system with flow extender
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20210117625A (ko) * 2020-03-19 2021-09-29 삼성전자주식회사 기판 처리 장치
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US12027352B2 (en) 2021-05-28 2024-07-02 Applied Materials, Inc. Apparatus for generating magnetic fields on substrates during semiconductor processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5795452A (en) * 1989-11-15 1998-08-18 Kokusai Electric Co., Ltd. Dry process system

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5795452A (en) * 1989-11-15 1998-08-18 Kokusai Electric Co., Ltd. Dry process system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100915740B1 (ko) * 2006-10-02 2009-09-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 처리 가스 공급 방법 및 컴퓨터 판독 가능한 기억 매체
KR101490117B1 (ko) * 2007-05-08 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 기판 세정 챔버 그리고 세정 및 컨디셔닝 방법

Also Published As

Publication number Publication date
US6074518A (en) 2000-06-13
TW299559B (ko) 1997-03-01

Similar Documents

Publication Publication Date Title
KR100349064B1 (ko) 플라즈마처리장치
JP3210207B2 (ja) プラズマ処理装置
US6471822B1 (en) Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US6849154B2 (en) Plasma etching apparatus
US6024827A (en) Plasma processing apparatus
US6245190B1 (en) Plasma processing system and plasma processing method
US6214162B1 (en) Plasma processing apparatus
US6350347B1 (en) Plasma processing apparatus
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US6727654B2 (en) Plasma processing apparatus
KR100429581B1 (ko) 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버
JP2001185542A (ja) プラズマ処理装置及びそれを用いたプラズマ処理方法
KR19990013651A (ko) 이씨알 플라즈마 발생기 및 이씨알 플라즈마 발생기를 사용하는이씨알 시스템
US20060037702A1 (en) Plasma processing apparatus
KR20210122209A (ko) 기판 처리 장치 및 기판 처리 방법
JP2003086577A (ja) プラズマ処理装置
US20040163595A1 (en) Plasma processing apparatus
JP3438003B2 (ja) プラズマ処理装置
JP4527432B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP3372244B2 (ja) プラズマ処理装置
JPH07106096A (ja) プラズマ処理装置
JP3192351B2 (ja) プラズマ処理装置
JP3192352B2 (ja) プラズマ処理装置
KR102467296B1 (ko) 차폐 구조의 점화전극

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J202 Request for trial for correction [limitation]
J301 Trial decision

Free format text: TRIAL DECISION FOR CORRECTION REQUESTED 20090413

Effective date: 20120228

Free format text: TRIAL NUMBER: 2009105000038; TRIAL DECISION FOR CORRECTION REQUESTED 20090413

Effective date: 20120228

FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee