KR100327875B1 - 복합게이트전극을갖는mos트랜지스터및그제조방법 - Google Patents

복합게이트전극을갖는mos트랜지스터및그제조방법 Download PDF

Info

Publication number
KR100327875B1
KR100327875B1 KR1019950702372A KR19950702372A KR100327875B1 KR 100327875 B1 KR100327875 B1 KR 100327875B1 KR 1019950702372 A KR1019950702372 A KR 1019950702372A KR 19950702372 A KR19950702372 A KR 19950702372A KR 100327875 B1 KR100327875 B1 KR 100327875B1
Authority
KR
South Korea
Prior art keywords
conductivity type
conductive layer
gate electrode
forming
layer
Prior art date
Application number
KR1019950702372A
Other languages
English (en)
Inventor
로버트에스.차우
데이비드비.프레이저
케네쓰씨.카딘
고팔라그하반
레오폴도디.야우
Original Assignee
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코오퍼레이션 filed Critical 인텔 코오퍼레이션
Application granted granted Critical
Publication of KR100327875B1 publication Critical patent/KR100327875B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

표준 CMOS 제조 프로세스로써 양립할 수 있는 복합 게이트 전극을 가진 새롭고, 신뢰성있는 고성능의 MOS 트랜지스터(300), 복합 게이트 전극은 고도진층(304) 상에 형성된 폴리실리콘 층(302) 으로 이루어진다. 복합 게이트 전극은 실리콘 기판(308)상에 형성된 게이트 절연중(301)상에 형성된다. 한쌍의 소스/드레인 영역(310a, 310b)이 기판에 형성되며 복합 게이트 전극의 외측 단부에 자기정렬된다.

Description

복합 게이트 전극을 갖는 MOS 트랜지스터 및 그 제조방법
제 1도는 스탠다드 폴리실리콘 게이트 전극을 구비한 LDD MOS 트랜지스터의 단면도,
제 2도는 금속 게이트 전극을 구비한 MOS트랜지스터의 단면도,
제 3도는 복합(composite) 게이트 전극을 구비한 LDD MOSFET의 단면도,
제 4a도는 실리콘 기판상에 형성된 산화물 충, 도전충, 폴리실리콘 충 및 포토레지스트층을 갖는 실리콘 기판의 단면도,
제4b도는 제4a도의 기판상에 복합 게이트 전극의 형성을 도시하는 단면도,
제4c도는 제4b도의 기판에 한쌍의 LDD 영역의 형성을 도시하는 단면도,
제4d도는 제4c도의 기판 전체에 걸쳐 컨포멀 (共形) 규소 질화물 충 혹은 산화물 충의 형성을 도시하는 단면도,
제4e도는 제4d도의 기판에 한쌍의 소스/ 드레인 영역의 형성 및 한쌍의 스페이서의 형성을 도시하는 단면도,
제4f도는 제4e도의 기판 전체에 걸쳐 금속충의 형성을 도시하는 단면도,
제4g도는 제4f도의 기판상의 소스/ 드레인 영역 및 폴리실리콘 충상에 규화물 영역의 형성을 도시하는 단면도.
발명의 배경
1. 발명의 분야
본 발명은 반도체 장치 분야, 더욱 상세히는 표준 CMOS를 가공함으로써 양립할 수 있는 복합 게이트 전극을 갖는 MOSFET에 관한 것이다.
2. 관련기술의 설명
트렌지스터는 당업계에 잘 알려져 있다. 트랜지스터는 모두 집적 회로로 된 구조물이다. 현대의 집적회로는 광범위한 다양한 기능을 수행하기 위해 수백만개의 트랜지스터가 서로 연결된다. 특정 집적회로의 성능 및 신뢰성은 특정 집적회로를 구성하는 트랜지스터의 성능 및 신뢰성과 집적 관련된다. 따라서, 더욱 양호한 성능의 집적회로를 제공하기 위해선 트랜지스터의 전기적 특성을 개선시킬 필요가 있다.
제 1도는 공지된 저농도 도우프된 드레인(LDD) 금속 산화물 반도체(MOS) 트랜지스터(100)의 단면도를 도시한다. 트랜지스터(100) 는 스탠다드 폴리실리콘 게이트 전극(110)을 갖는다 과거에, 트랜지스터(100) 는 자신의 폴리실리콘 게이트 전극(110) 으로 인해 매우 인기있고 광범위하게 사용되는 트랜지스터였었다. 폴리실리콘 게이트 전극의 이점은 공지되어 있으며 용이하게 제조될 수 있는 표준 CMOS 프로세스에 의해 제조되어지는 것을 허용하는 것이다. 예를 들면, 폴리실리콘 게이트는 소스/ 드레인 주입으로부터 트랜지스터의 채널영역을 적절히 마스크시킬 수 있으므로 자기정렬된(self-aligned)된 소스/ 드레인프로세스가 이용되어지는 것을 허용한다. 자기정렬된 프로세스는 트랜지스터의 소스 및 드레인이 마스크 정렬 공차(tolerance) 에 상관없이 트랜지스터의 게이트와 항상 완전하게 정렬되어지는 것을 허용한다. 폴리실리콘 게이트(110) 의 또 다른 이점은 자기정렬된 규화물 프로세스가 트랜지스터의 소스, 드레인 및 게이트상에 규화물(112) 을 형성하기 위해 사용되어질 수 있다. 트랜지스터(100) 는 폴리실리콘 게이트(110) 가 반응하지 않은 금속을 제거시키기 위해 사용되는 에칭에 의해 영향을 받지 않기 때문에 금속성 규화물 프로세스에서 필요한 자기정렬된 규화물 프로세스로써 양립 가능하다.
그러나, 불행하게도 스탠다드 폴리실리콘 게이트 트랜지스터(100) 의 성능은 폴리실리콘공핍효과로 인해 열악하다. 폴리실리콘 공핍효과는 게이트 산화물에 인가되는 게이트 전압의 양을 감소시킨다. 이것은 또한 트랜지스터의 구동전류를 감소시킨다.
속도는 구동전류에 정비례하기 때문에, 스탠다드 폴리실리콘 게이트 트랜지스터의 속도성능은 열악하다. 스탠다드 폴리실리콘 게이트 트랜지스터(100) 의 속도성능은 폴리실리콘 공핍효과로 인해 열악하다.
제 2도는 공지된 또 다른 트랜지스터(200) 의 단면도이다. 트랜지스터(200) 는 금속게이트 전극(210) 을 갖는다. 금속 게이트 전극은 도우프된 폴리실리콘 게이트전극보다 전기적 특성이 우수하므로 유용하다. 그러나, 불행하게도 이러한 금속게이트는 현대의 CMOS 가공으로는 양립할 수 없다. 예를 들면, 자기정렬된 소스/드레인 주입은, 주입동안 불순물 도핑으로부터 채널영역을 금속 게이트가 적절히 마스크시키는 것이 불가능하기 때문에 금속 게이트 장치에 사용될 수 없다.
부가하여, 금속 게이트 전극은 규화물 프로세스에서 반응하지 않은 금속을제거시키는데 필요한 에칭에 의해 손상될 수 있기 때문에 자기정렬된 규화물 프로세스와 양립될 수 없다.
따라서, 원하는 것은 폴리실리콘 공핍효과를 나타내지 않으며 또한 표준 CMOS 제조 프로세스와 양립할 수 있는 고성능 반도체 트랜지스터이다.
발명의 요약
폴리실리콘 공핍효과로부터 영향을 받지 않고 표준 CMOS를 가공함으로써 양립할 수 있는 새롭고, 고성능이고 고신뢰성의 LDD MOSFET트랜지스터가 개시되었다.
LDD MOSFET는 도전층상에 형성되는 폴리실리콘 층을 포함하는 복합 게이트를 갖는다.
도전층은 적절한 일함수에 의해 TiN, W, Mo, 다결정 탄소 흑은 임의의 금속성 규화물로부터 형성될 수 있다. 복합 게이트 전극의 폴리실리콘 충 및 도전충은 길이와 폭이 완전히 동일하다. 복합 게이트는 그 사이에 형성된 게이트 절연충을 구비한 제 1 도전형의 기판상에 형성된다. 제 2 도전형의 한쌍의 저농도 도우프된 (lightly doped) 드레인영역이 기판상에 형성되며 복합 게이트 전극의 반대편 측벽과 측면으로 정렬된다. 한쌍의 규소 질화물 혹은 산화물 스페이서가 복합 게이트의 반대측과 측면으로 인접하여 형성된다. 스페이서와 폴리실리콘 충은 복합 게이트 전극의 도전충을 완전히 둘러싼다. 제 2 도전형을 갖는 제 2농도의 한쌍의 소스/ 드레인 영역이 규소 질화물 스페이서의 외측 단부와 정렬하여 기판에 형성된다. 규화물이 소스/ 드레인 영역과 복합 게이트의 폴리실리콘 충에 형성된다.
본 발명의 목적은 표준 CMOS 프로세스로 제조될 수 있는 고성능이고 고신뢰성인 MOS 트랜지스터를 제공하는 것이다.
본 발명의 다른 목적은 폴리실리콘 공핍효과를 나타내지 않는 MOS 트랜지스터를 제공하는 것이다.
본 발명의 또 다른 목적은 스탠다드 자기정렬된 소스/ 드레인 주입 및 스탠다드 자기정렬된 규화물 프로세스에 의해 양립할 수 있는 고성능의 트랜지스터를 제공하는 것이다.
본 발명의 또 다른 목적은 고온 및 바이어스 스트레스 상태하에서 매우 안정한 MOS 트랜지스터를 제공하는 것이다.
본 발명의 상세한 설명
본 발명은 스탠다드 CMOS를 가공함으로써 양립할 수 있는 복합 게이트 전극을 구비한 새롭고, 고성능이고 고신뢰성의 금속 산화물 반도체(MOS) 트랜지스터를 개시한다.
다음의 설명에서 도정 양, 도핑 유형, 제조방법 등과 같은 다양한 특정 상세사항은 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 당업자에게는 본 발명이 이러한 특정 상세사항 없이도 실시될 수 있음은 명백할 것이다. 어떤 경우엔, 공지된 금속 산화물 반도체 트랜지스터의 개념 및 제조기술은 본 발명을 불필요하게 애매하게 하지 않도록 하기위해 설명되지 않는다.
본 발명의 복합 게이트 전극을 구비한 새로운 MOS 트랜지스터의 바람직한 실시예가 제 3도에 도시되었다. 복합 게이트 전극은 고성능이고, 저농도 도우프된 드레인(LDD) 금속산화물 반도체(MOS) 트랜지스터(300) 를 형성하기 위해 사용된다.
새로운 복합 게이트 전극은 형성된 트랜지스터의 전기적 성능 및 신뢰성을 상당히 증가시킨다. 부가하여, 새로운 게이트 전극 형성방법은 현재 공지된 CMOS 가공기술로써 양립할수 있다.
복합 게이트 전극은 얇은 고도전충(304) 상에 형성된 폴리실리콘충(302) 을 포함한다. 또한 규화물(306) 도 트랜지스터(300) 의 게이트 콘택트 저항을 감소시키기 위해 폴리실리콘 충(302) 의 최상부 표면상에 형성될 수 있다. 일 실시예에서 n-채널 트랜지스터(300)는 p 가 도우프된 실리콘 기판(308) 에 형성된다. 산화물 충(301) 은 트랜지스터(300)를 위한 게이트 절연체를 제공한다. N-도전형의 저농도 도우프된 드레인(LDD) 영역(310a 및 310b)은 복합 게이트 전극의 외측 단부와 정렬되어 형성된다.
스페이서(312a 및 312b)는 복합 게이트 전극의 외측 단부에 인접하여 형성된다.
소스/ 드레인 영역(314a 및 314b)은 스페이서(312a 및 312b)의 외측 단부와 정렬된다.
규화물(316a 및 316b)은 소스/ 드레인 영역(314a 및 314b)상에 형성될 수 있다.
얇은 도전충(304) 은 스탠다드 폴리실리콘 게이트를 갖는 트랜지스터를 능가하는 트랜지스터(300) 의 전기적 특성을 상당히 개선시킨다. 도전충(304) 은 스탠다드 폴리실리콘 게이트 장치와 연관된 폴리실리콘 공핍 효과를 완전히 제거한다. 폴리실리콘 공핍 효과를 제거함으로써, 트랜지스터(300) 의 구동성능은 스탠다드폴리실리콘 게이트 장치에 비해 10% 내지 20%증가된다. 구동 성능은 장치의 속도에 정비례하므로, 트랜지스터(300) 의 속도성능은 표준 폴리실리콘 게이트 장치를 능가하도록 개선된다.
부가하여, 트랜지스터(300) 는 복합 게이트로 인해 성능면에서 스탠다드 폴리실리콘 게이트 트랜지스터를 능가하는 다른 개선점을 나타낸다. 트랜지스터(300) 의 게이트 산화물 터널전류는 동일 전계에 대해 스탠다드 폴리실리콘 게이트 장치보다 적어도 한 자리수만큼 작다. 또한 트랜지스터(300) 의 게이트 산화물 브레이크 다운 필드는 스탠다드 폴리실리콘 게이트 장치의 브레이크 다운 필드보다 약 10%더 높다
트랜지스터(300) 는 또한 BT(bias/temperature) 스트레스 후에 최소의 전하포획을 나타낸다. 부가하여, 게이트 산화물(301) 과 도전충(308) 사이에 상호작용이 거의 없음을 주목해야 한다. 이러한 특성은 트랜지스터(300) 로 하여금 매우 안정하고, 신뢰성 있으며 뛰어난 성능의 트랜지스터가 되게 한다.
본 바람직한 프로세스에 따라 발명된 MOS 반도체 트랜지스터의 제조에 있어서, 제 4도에 도시된 p-형 실리콘 기판(500) 이 사용된다. p-형 실리콘 기판(500) 은 1 회 주입량이 약 1013cm-2인 보론원자로 도우프된다. 그후, 20Å 내지 200Å의 산화물층(502) 이 기판(500) 상에서 성장된다. 산화물 충(502) 은 트랜지스터에 대해 게이트 절연체를 제공한다. 산화물 충(502) 은 91%의 O2및 9% TCA분위기하의 약 920℃인 확산 로(furnace) 에서 성장될 수 있다.
그 후, 20Å 내지 2000Å의 도전층(504) 이 산화물 충(502) 위에 걸쳐 형성된다.
여러 상이한 재료가 도전충(504) 에 사용될 수 있다. 선택된 재료는 고온 (즉, 850℃ 이상) 처리에서 반드시 견딜 수 있어야 한다. 또한 매우 높은 도전율( 즉, n+ 폴리실리콘충보다 더욱 높은 도전율을 갖는다) 을 가져야 한다.
CMOS 응용을 위해선, 일함수가 실리콘의 갭의 1/2(mid-gap)에 근접하는 것이 바람직하며 이상적인 일함수는 실리콘의 갭의 1/2 이다. 마지막으로, 한 웨이퍼상에서 균일하게 그리고 한 웨이퍼로부터 다른 웨이퍼로 일관되게 형성될 수 있어야 한다.
도전층(504) 의 물리적 및 전기적 필요조건을 만족시키는 다음 재료로는 즉 티타늄- 질화물(TiN), 텅스텐(W), 다결정 탄소(C) 및 적절한 일함수를 갖는 임의의 금속 규화물이 발견되었다. 본 발명의 바람직한 실시예는 약 500Å티타늄 질화물로 된 도전충(504) 을 이용한다. 본 발명이 NMOS 혹은 PMOS 트랜지스터의 성능을 최적화시키기 위해서만 사용된다면, 갭의 1/2 인 일함수가 필요하지 않을 수도 있으며, 고온에 견딜수 있는 많은 금속들이 이용될 것이다.
티타늄 질화물이 사용된다면 리액티브 스패터 프로세스로 형성된다. 티타늄 질화물은 질소 및 아르곤 분위기에서 티타늄 타켓으로부터의 티타늄을 스패터링 시킴으로써 형성된다. N2의 백분율은 잔존 아르곤과 함께 100%내지 30% 일 수 있다.
본 바람직한 실시예는 70% N2내지 30%아르곤 분위기를 사용하여 질소가 풍부한 티타늄 질화물 층을 형성한다. 티타늄 질화물은 약 4mtorr의 압력 및 약 300 킬로와트의 전력인 DC 마그네트론 증착실에서 스패터된다. 만일 텅스텐이 사용된다면, 텅스텐은 약 1.5 내지 2 킬로와트의 전력 및 약 30 내지 50mtorr의 압력인 아르곤 분위기하의 실온에서 텅스텐타겟으로부터 스패터될 수 있다. 만일 다결정 탄소가 사용된다면, 부피가 5%인 CH 및 0.1% 미만의 BCL3를 가진 다운스트림 RF 플라즈마 반응기에서 잔존 아르곤과 함께 CVD 증착에 의해 형성될 수 있다.
다결정 탄소는 약 750℃의 온도 및 약 1.5 토르의 압력에서 형성된다.
도전층(504) 의 형성후에, 다결정 실리콘 (폴리실리콘) 층(506) 이 형성된다.
폴리실리콘 층(506) 은 130 내지 190m 토르의 압력 및 약 620℃의 온도에서의 SiH4로 LPCVD 에 의해 형성된다. 본 발명의 바람직한 실시예에서 폴리실리콘 층(506)은 약 3500Å의 두께로 형성된다. 그 후 포트레지스트 층(508) 이 폴리실리콘 층(506) 위에 형성된다.
다음에, 제4b도에 도시된 바와 같이, 게이트 전극(513) 이 폴리실리콘 층(506) 및 도전층(504) 으로부터 형성된다. 맨 먼저, 포토레지스트 층(508) 이 게이트 전극(513) 이 형성되는 위치(511) 를 정의하기 위해 공지된 기술로써 마스크되고 노광되며 성장(developed)된다. 다음에 폴리실리콘 층은 복합 게이트 전극 (513) 의 최상부(512)를 형성하기 위해 HBr, Cl2, He 화학물질(chemistry) 을 지닌 리액티브 이온 에처에 의해 이방성으로 에칭된다. 만일 티타늄 질화물이 도전충(504) 에서와 같이 사용된다면, 더욱 낮은 게이트 전극(510) 을 형성하기 위해 폴리실리콘 충인 위치(in-situ) 및 폴리실리콘 충과 같은 동일 화학물질 위치에 에칭된다. 티타늄 질화물 및 대부분의 금속성 규화물은 복합 게이트 전극(513) 을 형성하기 위해 하나의 에칭 단계가 사용될 수 있기 때문에 도전층(504) 으로서 바람직하다. 게이트 전극은 산화물 충(502) 이 도달될때까지 제자리에서 에칭된다 (노광된 산화물 충(502) 의 일부가 에칭되어진다는 것이 인식되어져야 한다). 게이트 전극의 완료는 에칭동안 소모된 잔존 개스를 분석함으로써 종료점이 검출될 수 있다.
만일 텅스텐이 도전층(504) 으로써 이용된다면, 두 단계의 프로세스로 플라즈마 에칭(폴리실리콘 에칭 후에) 된다. 맨 먼저, 텅스텐은 NF 및 아르곤으로 에칭되며 그후 SF6/CH2/He로 제 2 플라즈마 에칭이 이어진다. 만일 다결정 탄소가 사용된다면, 다결정 탄소 또한 플라즈마 에칭된다 (폴리실리콘 에칭 후). 복합 게이트 전극을 패터닝한 후, 도전충(510) 및 폴리실리콘 충(512) 은 정확히 동일 길이 및 폭을 갖는다는 것이 인식되어져야 한다. 복합 게이트 전극이 완전히 정의된 후, 포토레지스트(511) 는 공지된 기술에 의해 제거된다.
제4c도에 도시된 바와 같이, 복합 게이트 전극(513) 의 형성후에 한쌍의 n-저농도 도우프된 드레인(LDD) 영역(514a 및 514b)이 형성된다. LDD 영역(514a 및 514b)은 스탠다드 CMOS 자기정렬 프로세스로 형성된다. 저농도 도우프된 드레인 영역(514a 및 514b) 은 약 40KEV 의 에너지로 약 1 × 1014cm-2의 1 회 주입량으로 인원자를 주입시킴으로써 형성된다.
노광된 산화물 층(502) 은 기판(500) 의 표면이 주입에 의해 손상되는 것을 방지한다. 저농도 도우프된 드레인 영역(514a 및 514b)은 게이트 전극(513) 의 폭을 따라 이어지는 대향 측벽들과 정렬하여 형성된다. 폴리실리콘 층(512) 은 LDD주입을 위해 마스크로써 작용한다. 이러한 방식에서, LDD 영역(514a 및 514b) 은 마스크 정렬공차에 관계없이 게이트 전극(513) 에 자기정렬된다. 이러한 자기정렬 프로세스는, 완전한 금속 게이트는 주입으로부터 채널영역을 충분히 마스크시키는 것이 불가능하기 때문에 완전한 금속 게이트로는 불가능하다는 것이 인식되어져야 한다. 또한 이때 폴리실리콘 층(512) 은 인원자로 도우프되어진다는 것이 인식되어져야 한다.
그 후 제4d도에 도시된 바와 같이, 약 2500Å의 매우 컨포멀한 실리콘 질화물 충(516) 이 전체 기판 위에 증착된다. 규소 질화물 층(516) 은 열벽반응실내의 약 800℃에서 SIH2Cl2및 NH3로 LPCVD 에 의해 형성된다. 이러한 프로세스는 매우 컨포멀한 규소 질화물층을 형성한다. 매우 얇은 (약 200Å) 산화물 층이 양호한 개시표면(starting surface)을 지닌 규소 질화물 층(516) 을 제공하기 위해 규소질화물 층에 앞서서 성장될 수 있다. 규소 질화물 층이 바람직할지라도, CVD 산화물층이 규소 질화물 충(516) 대신에 사용될 수 있음이 인식되어져야 한다.
그 후, 제4e도에 도시된 바와 같이, 규소 질화물 층(516) 은 게이트 전극(513) 의 각각의 측상에서 측벽 스페이서(518a 및 518b)를 형성하기 위해 이방성으로 에칭된다.
컨포멀 층(516) 은 웨이퍼를 가로지르는 균일하고 정밀하게 제어된 두께를 갖는 스페이서를 형성하기 위해 필요하다. 규소 질화물 층은 CF3/O2로 플라즈마 이온에칭에 의해 이방성으로 에칭된다. 이제 도전층(510) 이 스페이서(518a 및 518b) 및 폴리실리콘 층(512) 에 의해 완전히 보호되고 완전 둘러싸여지게 됨을 주목해야 한다.
이것은 노광된 금속표면을 심각하게 부식시키는 스팀 프로세스를 이용하는 레벨간 유전율의 평탄율과 같은 이후의 프로세스 단계로 인해 매우 중요하다.
스페이서(518a 및 518b)의 형성후에, N+소스/ 드레인 영역(520a 및 520b)이 형성된다. N+ 소스/ 드레인 영역은 약 100KeV의 에너지로 약 6 x 10//1015cm-2의 1회 주입량에 의한 비소원자 이온주입에 의해 형성된다. 스페이서(518a 및 518b) 및 폴리실리콘층(512) 은 채널영역이 N+로 도우프되는 것을 방지한다. 소스/ 드레인 영역(520a 및 520b) 은 스페이서(518a 및 518b)의 측벽의 외부방향 단부와 정렬된다. 폴리실리콘층(512) 은 비소원자로 N+도우프된다. 또다시, 산화물 층(502) 은 실리콘 기판(500)의 표면이 비소를 주입하는 동안 손상되는 것을 방지한다.
그 후, 기판(500) 은 표준로에서 약 10분동안 900℃의 N2분위기에서 어닐링된다. 이러한 어닐링은 LDD 및 소스/ 드레인 주입으로부터의 인 및 비소원자를 활성화시킨다. 이러한 고온 어닐링으로 인해 도전층(510) 은 반드시 고온 처리에서 견딜 수 있어야 한다. 어닐링후에, 소스/ 드레인 영역상의 노광된 산화물(502) 은 HF 딥(dip) 에 의해 제거된다.
이제 규화물은 폴리실리콘 충(512) 및 N+ 소스/ 드레인 영역(520a 및 520b)상에 형성될 수 있다. 규화물은 제조된 트랜지스터의 성능을 급격히 향상시킨다. 규화물은 공지된 자기정렬 프로세스로써 본 발명에서 형성된다. 맨 먼저, 제4f도에 도시된 바와 같이, 티타늄 혹은 텅스텐과 같은 얇은 금속층(522) 이 장치구조 전체 위에 형성된다. 다음에, 금속층(522) 은 금속이 리액티브 실리콘과 접촉하는 곳 (즉, 소스/드레인 영역(520a 및 520b) 및 폴리실리콘 층(512) 위) 에서 규화물 반응이 일어나도록 가열 및 어닐링되며, 이 이외의 모든 장소 (즉, 스페이서 및 산화물 위) 에선 금속이 반응하지 않은 채로 존재한다.
그 후, 반응하지 않은 금속은 규화물을 부식시키지 않는 에칭액을 사용하여 선택적으로 제거된다. 제4g도에 도시된 바와 같이, 규화물(524)은 제조된 트랜지스터의 게이트, 소스 및 트레인상에서 형성된다, 규화물 형성동안, 도전층(510)은 스페이서(518a 및 518b)및 폴리실리콘 충(512) 에 의해 양호하게 밀봉된다.
본 발명의 복합 게이트 전극을 구비한 LDD MOSFET가 완성되었음이 인식되어져야 한다. 이때 공지된 다른 프로세스 단계가 MOSFET의 제조를 완성시키기 위해 사용된다. 충간 유전체가, 뒤이어지는 금속충으로부터 게이트를 분리시키기 위해 증착된다. 이 프로세스는 일반적으로 도전충이 완전하게 보호되지 않는다면 도전충(510) 을 손상시킬 수 있는 평탄율을 위한 스팀흐름 프로세스를 사용한다. 회로내의 다른 소자에 전기적으로 접속시키는 금속 콘택트가 나란히 제조된다. 보호유리 혹은 패시베이션이 장치의 오염 및 전기적 단락을 방지하기 위해 장치 위에 형성된다.
본 발명이 LDD MOSFET에 대해 설명되었을지라도 본 발명의 관점은 LDD 영역을 갖지 않는 표준 트랜지스터에 동일하게 응용될 수 있음이 인식되어져야 한다. 본 명세서에서 n-채널 트랜지스터가 상세하게 설명되었을지라도, 본 발명은 또한 p-채널 트랜지스터로써 실시될 수 있음이 인식되어져야 한다. p-채널장치 제조에 있어서, p-채널장치의 도전층 도핑은 단순히 n-채널장치의 도전층 도핑과는 반대이다. 본 장치는 n-형 기판 혹은 p-LDD 영역을 지닌 웰과 여기에 각각 형성된 p+ 소스/ 드레인 영역으로 형성된다. 게이트 전극은 폴리실리콘 공핍 효과를 제거하기 위해 도전충상에 형성된 폴리실리콘 충으로 이루어지는 복합 게이트 전극일 수 있다. 스페이서는 또한 소스/ 드레인 정렬 및 규화물 프로세스를 위해 사용될 수 있다. 복합 게이트 전극은 또한 긴 채널 및 서브미크론 채널 트랜지스터 모두에 사용될 수 있음이 인식되어져야 한다.
따라서, 복합 게이트 전극을 지닌 새로운 LDD MOS트랜지스터 및 표준 CMOS 제조 프로세스에 의해 양립가능한 제조 프로세스가 설명되었다.

Claims (14)

  1. 제1 도전형의 기판상에 형성된 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터에 있어서,
    제 1 도전형의 기판상에 형성된 게이트 절연층;
    복합 게이트 전극의 폭을 따라 횡방향으로 대향한 측벽을 갖는 복합 게이트전극으로서,
    상기 게이트 절연층상에 형성된 도전층과,
    상기 도전층상에 형성된 폴리실리콘층으로 이루어 지고, 상기 도전층은 티타늄질화물(TIN), 다결정 탄소 및 금속 규화물로 이루어지는 군으로부터 선택되고, 상기 폴리실리콘층은 후속하는 소스/드레인 주입을 마스킹하기 위한 충분한 두께 및 규화물 반응을 지지하기 위한 충분한 두께를 갖는, 상기 복합 게이트 전극;
    상기 복합 게이트 전극의 상기 횡방향으로 대향하는 측벽들과 정렬하여 상기 기판상에 형성된 제2 도전형의 제1 농도로 된 한쌍의 저농도로 도우프된 드레인 영역;
    상기 복합 게이트 전극의 상기 횡방향으로 대향하는 측벽들과 인접하여 형성된 한 쌍의 스페이서;
    상기 제2 도전형의 제2 농도로 된 한쌍의 소스/드레인 영역으로서, 상기 제2농도는 상기 제1 농도 보다 고농도이고, 상기 스페이서의 외측단부와 정렬하여 상기 기판에 형성된 상기 한 쌍의 소스/드레인 영역; 및
    상기 폴리실리콘층 및 상기 소스/트레인 영역상에 형성된 규화물을 포함하는 것을 특징으로 하는 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터.
  2. 제1항에 있어서, 상기 스페이서는 규소 질화물인 것을 특징으로 하는 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터.
  3. 제1항에 있어서, 상기 도전층의 두께는 500Å이고, 상기 폴리실리콘층의 두께는 3500Å인 것을 특징으로 하는 저농도로 도우프된 드레인 금속 산화물 반도체트랜지스터.
  4. 제1항에 있어서, 상기 제1 도전형은 p형 도전형이고 상기 제2 도전형은 n형 도전형인 것을 특징으로 하는 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터.
  5. 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터의 제조방법에 있어서,
    제1 도전형의 기판상에 게이트 절연층을 형성하는 단계;
    횡방향으로 대향한 측벽을 갖는 복합 게이트 전극을 형성하는 단계로서;
    상기 게이트 절연층상에 도전층을 형성하는 단계와,
    상기 도전층상에 폴리실리콘층을 형성하는 단계와,
    상기 폴리실리콘층상에 포토레지스트 마스크를 형성하는 단계와,
    상기 폴리실리콘층을 드라이 에칭하는 단계와,
    상기 도전층을 드라이 에칭하는 단계로 이루어지고, 상기 도전층은 TiN, W, 다결정 탄소 및 금속 규화물로 이루어지는 군으로부터 선택되고, 상기 폴리실리콘층은 상기 도전층과 동일한 길이 및 두께를 갖는, 상기 측벽을 갖는 복합 게이트 전극을 형성하는 단계;
    상기 복합 게이트 전극의 상기 횡방향으로 대향한 측벽과 정렬하여 상기 기판에 제2 도전형의 제1 농도로 된 한 쌍의 저농도로 도우프된 드레인 영역을 형성하는 단계;
    상기 복합 게이트 전극의 상기 횡방향으로 대향한 측벽과 인접하고 상기 도전층을 횡방향으로 둘러싸는 한 쌍의 스페이서를 형성하는 단계;
    상기 스페이서의 외측 단부와 정렬하여 이온 주입으로 상기 제2 도전형의 제 2 농도로 된 한 쌍의 소스/드레인 영역을 형성하는 단계; 및
    상기 한 쌍의 소스/드레인 영역 및 상기 폴리실리콘층상에 규화물층을 형성하기 위해 상기 폴리실리콘층 및 상기 기판을 내용해성 금속과 반응시키는 단계를 포함하는 것을 특징으로 제조방법.
  6. 제 5항에 있어서,
    상기 한 쌍의 저농도로 도우프된 드레인 영역은 이온 주입 공정에 의해 형성되는 것을 특징으로 제조방법.
  7. 제 5항에 있어서,
    상기 폴리실리콘층을 드라이 에칭하는 상기 단계는 제1 에칭제를 사용하여 드라이 이방성 에칭 공정에 의해 수행되고, 상기 도전층을 드라이 에칭하는 상기 단계는 상기 제1 에칭제를 사용하여 수행되는 것을 특징으로 제조방법.
  8. 제 5항에 있어서,
    상기 폴리실리콘층을 드라이 에칭하는 상기 단계는 반응성 이온 에처에서 드라이 이방성 에칭 공정에 의해 수행되고, 상기 도전층을 드라이 에칭하는 상기 단계는,
    NF3를 포함하는 제1 플라즈마로 상기 도전층을 플라즈마-에칭하는 단계와,
    SF6및 Cl2를 포함하는 제2 플라즈마로 상기 도전층을 플라즈마-에칭하는 단계를 포함하는 것을 특징으로 제조방법.
  9. 제 5항에 있어서,
    상기 스페이서는 규소 질화물인 것인 특징으로 제조방법.
  10. 제 9항에 있어서,
    상기 도전충의 두께는 500Å이고 상기 폴리실리콘층의 두께는 3500Å인 것을특징으로 제조방법.
  11. 제 9항에 있어서,
    상기 제1 도전형은 p형 도전형이고 상기 제2 도전형은 n형 도전형인 것을 특징으로 제조방법.
  12. 저농도로 도우프된 드레인 금속 산화물 반도체 트랜지스터의 제조방법에 있어서,
    제1 도전형의 기판상에 게이트 절연층을 형성하는 단계;
    횡방향으로 대향한 측벽을 갖는 복합 게이트 전극을 형성하는 단계로서,
    상기 게이트 절연층상에 도전층을 형성하는 단계와,
    상기 도전층상에 폴리실리콘층을 형성하는 단계와,
    상기 폴리실리콘층상에 포토레지스트 마스크를 형성하는 단계와,
    상기 폴리실리콘층을 에칭하는 단계와,
    상기 도전층을 에칭하는 단계로 이루어지고, 상기 도전층은 TiN, W, 다결정 탄소 및 금속 규화물로 이루어지는 군으로부터 선택되고, 상기 폴리실리콘층은 상기 도전층과 동일한 길이 및 두께를 갖는, 상기 복합 게이트 전극을 형성하는 단계;
    상기 복합 게이트 전극의 상기 횡방향으로 대향한 측벽중의 하나와 정렬하여 상기 기판에 제2 도전형의 제1 농도로 된 하나의 저농도로 도우프된 드레인 영역을형성하는 단계;
    상기 복합 게이트 전극의 상기 횡방향으로 대향한 측벽중의 하나의 측벽과 인접하는 스페이서를 형성하는 단계;
    상기 제1 도전형의 상기 기판에 상기 제2 도전형의 제1 농도 보다 고농도인 상기 제2 도전형의 제2 농도로 된 한 쌍의 소스/드레인 영역을 형성하는 단계; 및
    자기-정렬된 공정을 이용하여 상기 한 쌍의 소스/드레인 영역상에 및 상기 폴리실리콘층상에 규화물을 형성하는 단계를 포함하는 단계를 포함하는 것을 특징으로 제조방법.
  13. 제 12항에 있어서,
    상기 저농도로 도우프된 드레인 영역은 이온 주입 공정에 의해 형성되는 것을 특징으로 제조방법.
  14. 제 18항에 있어서,
    상기 스페이서는 규소 질화물인 것을 특징으로 제조방법.
KR1019950702372A 1992-12-11 1993-11-16 복합게이트전극을갖는mos트랜지스터및그제조방법 KR100327875B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US98908092A 1992-12-11 1992-12-11
US07/989080 1992-12-11
PCT/US1993/011116 WO1994014198A1 (en) 1992-12-11 1993-11-16 A mos transistor having a composite gate electrode and method of fabrication

Publications (1)

Publication Number Publication Date
KR100327875B1 true KR100327875B1 (ko) 2002-09-05

Family

ID=25534730

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950702372A KR100327875B1 (ko) 1992-12-11 1993-11-16 복합게이트전극을갖는mos트랜지스터및그제조방법

Country Status (8)

Country Link
US (2) US5625217A (ko)
JP (1) JP3488236B2 (ko)
KR (1) KR100327875B1 (ko)
AU (1) AU5669794A (ko)
GB (1) GB2286723B (ko)
HK (1) HK43297A (ko)
SG (1) SG43836A1 (ko)
WO (1) WO1994014198A1 (ko)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8343835B2 (en) 2008-01-29 2013-01-01 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
KR101222760B1 (ko) * 2010-06-09 2013-01-15 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 반도체 장치와 그 제조 방법
US8372713B2 (en) 2008-01-29 2013-02-12 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8482041B2 (en) 2007-10-29 2013-07-09 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
US8497548B2 (en) 2009-04-28 2013-07-30 Unisantis Electronics Singapore Pte Ltd. Semiconductor device including a MOS transistor and production method therefor
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8575662B2 (en) 2010-03-08 2013-11-05 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high pixel density
US8610202B2 (en) 2009-10-01 2013-12-17 Unisantis Electronics Singapore Pte Ltd. Semiconductor device having a surrounding gate
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9035384B2 (en) 2011-12-19 2015-05-19 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9153697B2 (en) 2010-06-15 2015-10-06 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor (SGT) structure

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1209747A3 (en) * 1995-02-17 2002-07-24 Hitachi, Ltd. Semiconductor memory element
US6071825A (en) * 1995-07-19 2000-06-06 Interuniversitaire Microelektronica Centrum (Imec Vzw) Fully overlapped nitride-etch defined device and processing sequence
US5714413A (en) * 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
US5707897A (en) * 1996-05-16 1998-01-13 Taiwan Semiconductor Manufacturing Company Ltd. Non-volatile-memory cell for electrically programmable read only memory having a trench-like coupling capacitors
KR100212455B1 (ko) * 1996-11-04 1999-08-02 정선종 이중 게이트 구조의 반도체 소자 제조 방법
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US6121663A (en) * 1997-05-22 2000-09-19 Advanced Micro Devices, Inc. Local interconnects for improved alignment tolerance and size reduction
JPH1197705A (ja) * 1997-09-23 1999-04-09 Semiconductor Energy Lab Co Ltd 半導体集積回路
US5937315A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Self-aligned silicide gate technology for advanced submicron MOS devices
TW388087B (en) * 1997-11-20 2000-04-21 Winbond Electronics Corp Method of forming buried-channel P-type metal oxide semiconductor
US6008080A (en) * 1997-11-21 1999-12-28 United Microelectronics Corp. Method of making a low power SRAM
US5882983A (en) * 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
US6140691A (en) * 1997-12-19 2000-10-31 Advanced Micro Devices, Inc. Trench isolation structure having a low K dielectric material isolated from a silicon-based substrate
TW387151B (en) * 1998-02-07 2000-04-11 United Microelectronics Corp Field effect transistor structure of integrated circuit and the manufacturing method thereof
US6153477A (en) * 1998-04-14 2000-11-28 Advanced Micro Devices, Inc. Ultra short transistor channel length formed using a gate dielectric having a relatively high dielectric constant
US6352913B1 (en) 1998-04-28 2002-03-05 Compaq Computer Corporation Damascene process for MOSFET fabrication
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
JPH11354652A (ja) * 1998-06-09 1999-12-24 Mitsubishi Electric Corp 半導体装置
US6114228A (en) * 1998-07-21 2000-09-05 Advanced Micro Devices, Inc. Method of making a semiconductor device with a composite gate dielectric layer and gate barrier layer
US6100185A (en) * 1998-08-14 2000-08-08 Micron Technology, Inc. Semiconductor processing method of forming a high purity <200> grain orientation tin layer and semiconductor processing method of forming a conductive interconnect line
US6136656A (en) * 1998-10-22 2000-10-24 International Business Machines Corporation Method to create a depleted poly MOSFET
US6077337A (en) 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
KR100345364B1 (ko) * 1998-12-28 2002-09-18 주식회사 하이닉스반도체 반도체 소자의 게이트전극 형성방법
US6211000B1 (en) * 1999-01-04 2001-04-03 Advanced Micro Devices Method of making high performance mosfets having high conductivity gate conductors
US20040175901A1 (en) * 1999-02-10 2004-09-09 Commissariat A L'energie Atomique Method for forming an optical silicon layer on a support and use of said method in the production of optical components
US6524951B2 (en) * 1999-03-01 2003-02-25 Micron Technology, Inc. Method of forming a silicide interconnect over a silicon comprising substrate and method of forming a stack of refractory metal nitride over refractory metal silicide over silicon
US6365507B1 (en) 1999-03-01 2002-04-02 Micron Technology, Inc. Method of forming integrated circuitry
US6787805B1 (en) 1999-06-23 2004-09-07 Seiko Epson Corporation Semiconductor device and manufacturing method
JP3516616B2 (ja) * 1999-08-03 2004-04-05 シャープ株式会社 半導体装置の製造方法及び半導体装置
US6287923B1 (en) * 1999-10-08 2001-09-11 Tzung-Han Lee Method of forming a MOS transistor
JP2001298192A (ja) * 2000-04-13 2001-10-26 Seiko Epson Corp 半導体装置およびその製造方法
JP2001298193A (ja) 2000-04-13 2001-10-26 Seiko Epson Corp 半導体装置およびその製造方法
US6475841B1 (en) 2000-06-02 2002-11-05 Motorola, Inc. Transistor with shaped gate electrode and method therefor
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6423600B1 (en) * 2000-09-08 2002-07-23 Promos Technologies, Inc. Method for forming uniform oxide thickness
US6376342B1 (en) * 2000-09-27 2002-04-23 Vanguard International Semiconductor Corporation Method of forming a metal silicide layer on a source/drain region of a MOSFET device
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6888198B1 (en) * 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6818493B2 (en) * 2001-07-26 2004-11-16 Motorola, Inc. Selective metal oxide removal performed in a reaction chamber in the absence of RF activation
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6787440B2 (en) 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6709911B1 (en) 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US6806146B1 (en) 2003-05-20 2004-10-19 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7037845B2 (en) * 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric
US6939815B2 (en) * 2003-08-28 2005-09-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US7129182B2 (en) * 2003-11-06 2006-10-31 Intel Corporation Method for etching a thin metal layer
US6974764B2 (en) * 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7160767B2 (en) * 2003-12-18 2007-01-09 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US20050164460A1 (en) * 2004-01-23 2005-07-28 Agency For Science, Technology And Research Salicide process for metal gate CMOS devices
US7196375B2 (en) * 2004-03-16 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage MOS transistor
DE102004049452A1 (de) * 2004-10-11 2006-04-20 Infineon Technologies Ag Mikroelektronisches Halbleiterbauelement und Verfahren zum Herstellen eines mikroelektronischen Halbleiterbauelements
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
CN100355060C (zh) * 2004-10-28 2007-12-12 茂德科技股份有限公司 非挥发性存储器的制造方法
US7208793B2 (en) * 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
US7323403B2 (en) * 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
JP4971593B2 (ja) * 2005-01-11 2012-07-11 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
JP2006228910A (ja) * 2005-02-16 2006-08-31 Matsushita Electric Ind Co Ltd 半導体装置
US7612403B2 (en) * 2005-05-17 2009-11-03 Micron Technology, Inc. Low power non-volatile memory and gate stack
US8338887B2 (en) * 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7288828B2 (en) * 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
KR100896862B1 (ko) * 2007-05-18 2009-05-12 주식회사 동부하이텍 반도체 소자의 제조방법
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8524588B2 (en) 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8124515B2 (en) 2009-05-20 2012-02-28 Globalfoundries Inc. Gate etch optimization through silicon dopant profile change
KR20110042614A (ko) * 2009-10-19 2011-04-27 삼성전자주식회사 반도체 소자 및 그 형성방법
US8440998B2 (en) * 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
JP5559567B2 (ja) 2010-02-24 2014-07-23 パナソニック株式会社 半導体装置
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
KR101919626B1 (ko) * 2013-10-28 2018-11-19 매그나칩 반도체 유한회사 반도체 소자
FR3020897A1 (fr) * 2014-05-09 2015-11-13 Commissariat Energie Atomique Transistor mos a tension de seuil ajustable
US9590053B2 (en) 2014-11-25 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methodology and structure for field plate design
US10756208B2 (en) 2014-11-25 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated chip and method of forming the same
US11164970B2 (en) 2014-11-25 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact field plate
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1981002222A1 (en) * 1980-01-21 1981-08-06 Mostek Corp Composit gate interconnect structure

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576478A (en) * 1969-07-22 1971-04-27 Philco Ford Corp Igfet comprising n-type silicon substrate, silicon oxide gate insulator and p-type polycrystalline silicon gate electrode
US4392150A (en) * 1980-10-27 1983-07-05 National Semiconductor Corporation MOS Integrated circuit having refractory metal or metal silicide interconnect layer
US4816425A (en) * 1981-11-19 1989-03-28 Texas Instruments Incorporated Polycide process for integrated circuits
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPH0695563B2 (ja) * 1985-02-01 1994-11-24 株式会社日立製作所 半導体装置
JPS6342173A (ja) * 1986-08-07 1988-02-23 Fujitsu Ltd 絶縁ゲ−ト型半導体装置の製造方法
JPH0778373B2 (ja) * 1986-10-13 1995-08-23 トヨタ自動車株式会社 内燃機関の空燃比制御装置
US5171702A (en) * 1989-07-21 1992-12-15 Texas Instruments Incorporated Method for forming a thick base oxide in a BiCMOS process
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5167760A (en) * 1989-11-14 1992-12-01 Intel Corporation Etchback process for tungsten contact/via filling
US5189504A (en) * 1989-12-11 1993-02-23 Nippon Telegraph And Telephone Corporation Semiconductor device of MOS structure having p-type gate electrode
JPH04125924A (ja) * 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
US5097301A (en) * 1990-12-19 1992-03-17 Intel Corporation Composite inverse T-gate metal oxide semiconductor device and method of fabrication

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1981002222A1 (en) * 1980-01-21 1981-08-06 Mostek Corp Composit gate interconnect structure

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8482041B2 (en) 2007-10-29 2013-07-09 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8598650B2 (en) 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8372713B2 (en) 2008-01-29 2013-02-12 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8343835B2 (en) 2008-01-29 2013-01-01 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8647947B2 (en) 2009-04-28 2014-02-11 Unisantis Electronics Singapore Pte Ltd. Semiconductor device including a MOS transistor and production method therefor
US8497548B2 (en) 2009-04-28 2013-07-30 Unisantis Electronics Singapore Pte Ltd. Semiconductor device including a MOS transistor and production method therefor
US8610202B2 (en) 2009-10-01 2013-12-17 Unisantis Electronics Singapore Pte Ltd. Semiconductor device having a surrounding gate
US8575662B2 (en) 2010-03-08 2013-11-05 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high pixel density
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
US8609494B2 (en) 2010-06-09 2013-12-17 Unisantis Electronics Singapore Pte Ltd. Surround gate CMOS semiconductor device
US8486785B2 (en) 2010-06-09 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Surround gate CMOS semiconductor device
KR101222760B1 (ko) * 2010-06-09 2013-01-15 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 반도체 장치와 그 제조 방법
US9153697B2 (en) 2010-06-15 2015-10-06 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor (SGT) structure
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US9035384B2 (en) 2011-12-19 2015-05-19 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9245889B2 (en) 2011-12-19 2016-01-26 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9362353B2 (en) 2011-12-19 2016-06-07 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9478545B2 (en) 2011-12-19 2016-10-25 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9748244B2 (en) 2011-12-19 2017-08-29 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9806163B2 (en) 2011-12-19 2017-10-31 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device having an nMOS SGT and a pMOS SGT
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device

Also Published As

Publication number Publication date
JP3488236B2 (ja) 2004-01-19
AU5669794A (en) 1994-07-04
GB2286723A (en) 1995-08-23
GB2286723B (en) 1997-01-08
JPH08508851A (ja) 1996-09-17
WO1994014198A1 (en) 1994-06-23
HK43297A (en) 1997-04-18
US5783478A (en) 1998-07-21
SG43836A1 (en) 1997-11-14
US5625217A (en) 1997-04-29
GB9507917D0 (en) 1995-06-21

Similar Documents

Publication Publication Date Title
KR100327875B1 (ko) 복합게이트전극을갖는mos트랜지스터및그제조방법
US5583067A (en) Inverse T-gate semiconductor device with self-aligned punchthrough stops and method of fabrication
US5102815A (en) Method of fabricating a composite inverse T-gate metal oxide semiconductor device
US5091763A (en) Self-aligned overlap MOSFET and method of fabrication
US6136636A (en) Method of manufacturing deep sub-micron CMOS transistors
US6081010A (en) MOS semiconductor device with self-aligned punchthrough stops and method of fabrication
US5428240A (en) Source/drain structural configuration for MOSFET integrated circuit devices
US5254490A (en) Self-aligned method of fabricating an LDD MOSFET device
US5097301A (en) Composite inverse T-gate metal oxide semiconductor device and method of fabrication
JPH05102470A (ja) ゲート・ドレインオーバーラツプを有する絶縁型ゲート電界効果トランジスタ及びその製造方法
US6380055B2 (en) Dopant diffusion-retarding barrier region formed within polysilicon gate layer
US5940725A (en) Semiconductor device with non-deposited barrier layer
KR0147626B1 (ko) 타이타늄 카본 나이트라이드 게이트전극 형성방법
US6855592B2 (en) Method for manufacturing semiconductor device
US6162694A (en) Method of forming a metal gate electrode using replaced polysilicon structure
KR100275733B1 (ko) 2중층스페이서를갖는모스트랜지스터형성방법
US6087238A (en) Semiconductor device having reduced-width polysilicon gate and non-oxidizing barrier layer and method of manufacture thereof
KR19990007043A (ko) 엠 아이 에스형 전계 효과 트랜지스터를 포함하는 반도체 장치 및 그 제조 방법
KR0144413B1 (ko) 반도체소자 및 그 제조방법
US6674135B1 (en) Semiconductor structure having elevated salicided source/drain regions and metal gate electrode on nitride/oxide dielectric
KR19980060621A (ko) 반도체소자의 제조방법
KR100499954B1 (ko) 반도체 소자의 전계 효과 트랜지스터 제조 방법
KR100203910B1 (ko) 모스 전계 효과 트랜지스터의 제조방법
KR20010065915A (ko) 반도체 소자의 듀얼-폴리실리콘 게이트 형성방법
KR940010919B1 (ko) Ldd형 mos 트랜지스터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130219

Year of fee payment: 12

EXPY Expiration of term