KR0179718B1 - 전자부품의 패턴형성방법 - Google Patents

전자부품의 패턴형성방법 Download PDF

Info

Publication number
KR0179718B1
KR0179718B1 KR1019950039562A KR19950039562A KR0179718B1 KR 0179718 B1 KR0179718 B1 KR 0179718B1 KR 1019950039562 A KR1019950039562 A KR 1019950039562A KR 19950039562 A KR19950039562 A KR 19950039562A KR 0179718 B1 KR0179718 B1 KR 0179718B1
Authority
KR
South Korea
Prior art keywords
radiation
pattern
acid
compound
sensitive layer
Prior art date
Application number
KR1019950039562A
Other languages
English (en)
Other versions
KR960019542A (ko
Inventor
요시히토 고바야시
Original Assignee
사토 후미오
가부시키가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사토 후미오, 가부시키가이샤 도시바 filed Critical 사토 후미오
Publication of KR960019542A publication Critical patent/KR960019542A/ko
Application granted granted Critical
Publication of KR0179718B1 publication Critical patent/KR0179718B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명은, 자외선, 전리방사선 등의 파장이 짧은 화학방사선을 이용한 리소그라피에 적용되는 화학증폭형 레지스트의 산란광에 의한 패턴치수 변동에 대한 영향을 저감시킬 수 있고, 단면이 구형상의 양호한 미세패턴을 안정하게 형성하여 얻는 전자부품의 패턴형성방법을 제공한다.
본 발명은, 화학방사선의 조사에 의해 산을 발생하는 화합물 및 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 감방사선 조성물을 기판상에 도포하여 감방사선층을 형성하는 공정과, 감방사선층의 소망 패턴형성 예정부를 노광하는 공정, 감방사선층의 표면을 염기성 화합물로 처리하고, 상기 노광을 실시한 부위에 발생한 산을 비활성화시키는 공정, 염기성 화합물로 처리된 감방사선층의 패턴형성을 예정부를 노광하는 공정 및, 기판을 가열처리한 후, 상기 감방사선층을 현상처리하는 공정을 구비하여 이루어지는 것을 특징으로 한다.

Description

[발명의 명칭]
전자부품의 패턴형성방법
[발명의 상세한 설명]
[산업상의 이용분야]
본 발명의 대규모 반도체 집적회로(LSI) 등의 전자부품의 미세가공에 이용되는 패턴형성방법에 관한 것이다.
[종래의 기술 및 문제점]
반도체 집적회로를 비롯한 각종의 미세가공을 필요로 하는 전자부품의 분야에서는 그것을 제조하는 경우에 포토리소그라피에 의한 미세가공기술이 채용되어져 있다. 이와 관련된 기술은 예컨대, 실리콘웨이퍼 등의 반도체 기판상에 포토레지스트막을 스핀코트법에 의해 형성한다. 상기 레지스트막을 패턴노광한 후, 현상, 린스 등의 처리를 실시하여 레지스트패턴을 형성한다. 또한, 상기 레지스트패턴을 에칭마스크로서 노출하는 기판부분을 에칭하는 것에 의해 미세한 선이나 개구부를 형성하는 것이다.
상기 패턴노광에 있어서, 미세한 패턴을 형성함에 사용하는 광의 파장은 짧고 적당한 엑시머레이저 등의 단파장광, 또는 파장이 짧은 전자선 또는 X선을 사용하는 것에 의해 보다 미세한 패턴형성을 행할 수 있다.
그러나, 종래의 레지스트는 단파장광에 대한 흡수가 크기 때문에 상기 광선을 레지스트막의 심부까지 충분히 도달시킬 수 없다. 그 결과, 현상후의 레지스트패턴의 단면형성이 포지티브형 레지스트에서는 삼각형으로, 또는 네가티브형 레지스트에서는 역삼각형으로 되어 에칭마스크로서의 기능이 현저하게 손상되어 버리는 문제가 있다.
이와 같은 문제를 해결하는 레지스트로서, 종래 화학증폭형의 것이 제안되어 있다. 상기 화학증폭형 레지스트는 광조사에 위해 산을 발생하는 화합물(광산발생제)과, 발생한 산에 의해 소수성의 기가 분해되고, 친수성의 물질로 변화하는 화합물을 포함한다. 상기 레지스트의 구체적 예로서는 폴리(p-히드록시스틸렌)의 수산기를 부톡시카르보닐기로 블럭한 폴리머와, 오늄염을 포함하는 포지티브형 레지스트, 또는 m-크레졸노볼락수지와 나프탈렌-2-카르본산-터셔리(tert)-부틸에스테르와, 트리페닐설포늄염을 포함하는 포지티브형 레지스트, 또는 2, 2-비스(4-tert-부톡시카르보닐옥시페닐)프로판이나 폴리프탈알데히드와 오늄염을 포함하는 포지티브형 레지스트 등을 들 수 있다.
상기 광산발생제는 촉매로서 작용하기 때문에 미량으로도 효율이 좋게 반응하고, 레지스트막 심부까지 충분히 반응이 진행되며, 측면이 급준한 레지스트패턴을 형성할 수 있게 된다.
상기한 화학증폭형 레지스트는 아래에 기재되어 있다.
1) H. Ito, C, G, Wilson, J. M. Franchet, 미국특허 제 4, 491, 628호(1985년).
폴리(p-히드록시스틸렌)의 수산기를 부톡시카르보닐기로 변성한 폴리머와, 광조사에 의해 산을 발생하는 화합물인 오늄을 포함하는 포지티브형 레지스트가 개시되어 있다.
2) M. J. O Brien, J. V. Crivello, SPIE Vol, 920, Advences in Resist Technolgy Processing, p42, (1988).
m-크레졸노볼락수지와 나프탈렌-2-카르본산-터셔리부틸에스테르와, 광조사에 의해 산을 발생하는 화합물인 트리페닐스르호늄염을 포함하는 포지티브형 레지스트가 개시되어 있다.
3) H. Ito, SPIE Vol, 920, Advances in Resist Technolgy and Processing, p33, (1988년).
2, 2-비스(4-터셔리부톡시카르보닐옥시페닐)프로판이나 폴리프탈알데히드, 광조사에 의해 산을 발생하는 화합물인 오늄염을 포함하는 포지티브형 레지스트가 개시되어 있다.
그러나, 상기 화학증폭형 레지스트는 고감도이기 때문에 패턴형성 예정부의 주위가 산활광의 영향을 받고, 레지스트패턴의 치수변화가 발생한다는 문제가 있다.
[발명의 목적]
본 발명의 상기한 점을 감안하여 발명된 것으로, 자외선, 전리방사선 등의 파장이 짧은 화학방사선을 이용한 리소그라피에 적용되는 화학증폭형 레지스트의 산활광에 의한 패턴치수 변동에 대한 영향을 저감할 수 있고, 단면이 구형상의 양호한 미세패턴을 안정하게 형성하여 얻는 전자부품의 패턴형성방법을 제공함에 그 목적이 있다.
[발명의 구성]
본 발명에 따른 전자부품의 패턴형성방법은, 화학방사선의 조사에 의해 산을 발생하는 화합물 및 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 감방사선 조성물을 기판상에 도포하여 감방사선층을 형성하는 공정과, 상기 감방사선층의 소망 패턴형성 예정부를 노광하는 공정, 다음에, 상기 감방사선층의 표면을 염기성 화합물로 처리하고, 상기 노광을 실시한 부위에 발생한 산을 비활성화시키는 공정, 상기 염기성 화합물로 처리된 감방사선층의 패턴형성 예정부를 노광하는 공정 및, 다음에, 상기 기판을 가열처리한 후, 상기 감방사선층을 현상처리하는 공정을 구비하여 이루어지는 것을 특징으로 한다.
[작용]
상기와 같이 구성된 본 발명에 의하면, 화학방사선에 의해 발생하는 화합물 및 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 레지스트를 기판상에 도포하여 레지스트층을 형성한다. 그리고, 상기 레지스트층의 소망 패턴형성 예정부를 제거하는 영역을 노광하는 것에 의해 패턴형성 예정부를 노광하는 경우에 산활광의 영향을 받는 영역을 감광시킨다. 다음에, 그 표면을 염기성 화합물로 처리하는 것에 의해 상기 노광을 실시한 부위에 발생한 산을 비활성화시킨다. 이로 인해, 상기 산활광의 영향을 받는 영역은 감도가 저하되고, 산활광의 영향을 받기 어렵게 된다. 다음에, 패턴형성 예정부를 노광하고, 패턴형성 예정부에 산을 발생시킨다. 계속해서, 가열처리를 행하는 것에 의해 상기 패턴형성 예정부에 발생한 산과 상기 산에 의해 분해하여 얻는 결합을 적어도 1개 갖춘 화합물이 반응하고, 현상액에 대한 용해성이 변화한다. 다음에, 현상처리하는 것에 의해 소망 레지스트패턴이 형성된다.
[실시예]
이하, 본 발명에 따른 전자부품의 패턴형성방법의 실시예를 설명한다. 먼저, 기판상에 화학방사선의 조사에 의해 산을 발생하여 얻는 화합물과 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 감방사선 조성물을 회전도포법이나 퇴적법에 의해 도포한 후, 200℃ 이하, 바람직하게는 60∼150℃에서 건조하여 감방사선 조성물층(레지스트막)을 형성한다. 상기 기판으로는, 예컨대 실리콘웨이퍼, 표면에 각종의 절연막이나 전극, 배선이 형성된 단차를 갖춘 실리콘웨이퍼, 블랭크마스크 및 각종 반도체 웨이퍼 등을 들 수 있다.
상기 화학방사선은 상기 제1성분인 화학방사선의 조사에 의해 산을 발생하여 얻는 화합물의 성질에 따라 최적한 것이 선택되는, 예컨대 수은램프의 i선과 h선 및 g선, 엑시머레이저인 Kr F선, Ar F선 등의 각종 자외선 또는 X선, 전자선, 이온빔 등을 들 수 있다.
상기 감방사선 조성물의 제1성분인 화학방사선의 조사에 의해 산을 발생하여 얻는 화합물은, 특히 한정되는 것이 아니라, 각종 공지화합물 및 혼합물을 이용할 수 있다. 예컨대, 디아소늄염, 포스포늄염, 술포늄염, 요오드늄염의 CF3SO3 -, P-CH3PHSO3 -, P-NO2PHSO3 -등의 염, 유기할로겐 화합물, 오르톡논-디아지드술포닐클로라이드 등을 들 수 있다.
상기 감방사선 조합물의 제2성분인 상기 산에 의해 분해하여 얻는 결합을 적어도 1개 갖춘 화합물은, 산에 의해 분해되어 현상액에 대한 용해성이 변화하는 것이라면 특히 한정되지 않지만, 구체적으로는 페놀화합물의 에스테르 또한 에테르가 적당하다. 상기 페놀화합물로는, 예컨대 키시레졸, 비스페놀A, 비스페놀S, 히드록시벤조페논, 페놀프탈레인, 폴리비닐페놀, 노볼락수지 등을 들 수 있다. 이러한 히드록시기를 적당한 에스테르화제 또는 에테르화제를 이용하여 에스테르화 또는 에테르화 한다. 도입되는 에스테르 또는 에테르로서는, 예컨대 메틸에스테르, 에틸에스테르, n-프로필에스테르, 이소프로필에스테르, 터셔리(tert)-부틸에스테르, n-부틸에스테르, 이소부틸에스테르, 벤질에스테르, 테트라히드로피라닐에테르, 벤질에테르, 메틸에테르, 에틸에테르, n-프로필에테르, 이소프로필에테르, tert-부틸에테르, 알릴에테르, 메톡시메틸에테르, p-브로모페나시프에테르, 트리메틸시릴에테르, 벤질옥시카르보닐에테르, tert-부톡시카르보닐에테르, tert-부틸 아세테이드, 4-tert-부틸벤질에테르 등을 들 수 있다.
상기 감압축선 조성물은 상기 제1성분, 제2성분과 다른 알카리가용성 중합체를 제3성분으로 하여 배합하는 것을 허용한다. 이와 관련된 알카리가 용성 중합체는 알카리현상액에 대한 상기 감방사선층의 용해속도를 조절하여 패턴의 해상성을 높히는 작용을 갖는다. 상기 알카리가용성 수지로는 페놀노볼락수지, 크레졸노볼락수지, 키시레졸노볼락수지, 비닐페놀수지 등을 들 수 있다.
상기 감방사선 조성물은 상기 제1성분, 제2성분 및 제3성분과 다르게 또한 필요에 따라 도막개질제로서의 계면활성제, 또는 반사방지제로서의 도료를 배합하여도 된다.
상기 제1성분인 화학방사선의 조사에 의해 발생되어 얻는 화합물의 배합량은 감방사선 조성물의 고형분중에 0.1∼30 중량 %, 보다 바람직하게는 0.3∼15 중량 %의 범위로 하는 것이 바람직하다. 이 이유는, 배합량이 0.1 중량 % 미만이면 충분한 감광특성을 얻기가 곤란하게 되고, 배합량이 30 중량 %를 초과하면 균일한 감방사선층을 형성하는 것이 곤란하게 되는 우려가 있기 때문이다.
상기 제3성분인 알카리가용성 중합체는 상기 제2성분인 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물과 상기 알카리가용성 중합체의 합계량을 100 중량부로 한 때, 90중량부이하, 바람직하게는 80중량부이하로 배합하는 것이 바람직하다. 이 이유는, 상기 알카리가용성 중합체의 배합량이 90중량부를 초과하면 노광부와 노광부의 용해속도의 차가 작게 되고, 패턴형성에 있어 해상성이 저하되는 우려가 있기 때문이다.
상기 감방사선 조성물은 상기 제1성분과 제2성분 및, 필요에 따라 배합되는 제3성분인 상기 알카리가용성 중합체 등을 유기용제로 용해하고, 여과하는 것에 의해 조제된다.
이와 관련된 유기용제로는, 예컨대 시크로헥사논, 아세톤, 메틸에틸케톤, 메틸이소프틸케톤 등의 케톤계 용매와, 메틸셀로솔부, 메틸셀로솔부아세테이트, 에틸셀로솔부, 에틸셀로솔나아세테이트, 부틸셀로솔부, 부틸셀로 솔부아세테이트 등의 셀로솔부계 용매, 초산에틸, 초산부틸, 초산이소아밀, 유산에틸, 유산메틸 등의 에스테르계 용매, 2-부탄올, 이소아밀알콜, 디에틸렌글리콜 등의 알콜계 용매, 에틸렌글리콜에틸에테르, 디에틸렌글리콜모노에틸에테르, 에틸카르비톨 등의 다가알코올유도체 용매 및, 모노포린, N-메틸-2-필로니돈 등을 들 수 있다. 이러한 용제는 단독으로 사용해도 되고, 혼합물의 형태로 사용해도 된다.
다음에, 상기 감방사선층의 소망 패턴형성 예정부를 제거하는 영역에 소망 패턴을 갖춘 마스크를 수은램프의 i선, h선, g선, 엑시머레이저인 Kr F선, Ar F선 등의 자외선 또는 X선 등을 조사하여 패턴노광을 행해 나간다. 또한, 마스크를 이용하지 않는 전자선, 이온빔 등을 주사하여 직접 패턴노광을 행해도 된다. 상기 노광에 의해 상기 감방사선 조성물의 제1성분인 산을 발생하여 얻는 화합물로부터 산이 발생한다.
상기 패턴형성 예정부를 제거하는 영역으로는, 특히 한정되지 않고 후술할 패턴형성 예정부의 노광시에 산활광의 영향을 미치는 범위(산활광에 의해 발생하는 산이 이동하는 패턴형성 예정부의 범위, 예컨대 10㎛ 이내의 범위)를 대상으로 하는 것이 바람직하지만, 적어도 패턴형성 예정부의 주위 5.0㎛ 이내의 범위에서 실용상 충분하다.
또한, 상기 패턴형성 예정부를 제거하는 영역을 노광하는 경우의 노광량으로는, 특히 한정되지 않지만, 패턴형성 예정부에 산활광의 영향을 미치게 하지 않는 노광량(감방사선층을 소망 패턴치수로 완성하기 위한 적정노광량, 예컨대 30∼10 %의 범위)이 바람직하다.
다음에, 상기 패턴형성 예정부를 제거하는 영역을 노광한 기판을 염기성 화합물로 처리하는 것에 의해, 상기 노광을 실시한 부위에 발생한 산을 비활성화시킨다.
상기 염기성 화합물로는, 특히 한정되는 것이 아니라, 각종 아민화합물 등을 이용할 수 있다. 예컨대, 트리에틸아민, 아닐린, 비리진, 디에틸아민, 암모니아, 테트라메틸암모늄하이드로옥시드, 헥사메틸디실라잔, 트리페닐아민, 2, 4, 5-트리페닐이미다졸, 2-페닐이미다졸, 4, 4'-디아미노디페닐메탄, 니코틴산아미드, 2-에틸이미다졸, 3, 3'-디아미노-4-4'-디하이드록시디페닐, 2, 2, 2-트리플르오르아세트아미드, 벤조티아졸 등을 들 수 있다.
상기 염기성 화합물에 의한 표면처리의 방법으로는, 예컨대 염기성 화합물의 증기에 쬐는 방법이나 염기성 화합물을 용해한 액에 침지하는 방법, 염기성 화합물을 용해한 액을 스프레이하는 방법 등을 들 수 있지만, 특히 한정되는 것은 아니다.
다음에, 상기 염기성 화합물로 표면처리된 감방사선층에 소망 패턴을 갖춘 마스크를 수은램프의 i선, h선, g선, 엑시머레이저인 Kr F선, Ar F선 등의 자외선 또는 X선 등을 조사하여 패턴노광을 행해 나간다. 또한, 마스크를 이용하지 않는 전자선, 이온빔 등을 주사하여 직접 패턴노광을 행해도 된다. 상기 화학방사선에 의한 노광에 의해 상기 감방사선 조성물의 제1성분인 산을 발생하여 얻는 화합물로부터 산이 발생된다.
다음에, 상기 패턴노광 후의 기판을 가열처리한다. 이 가열처리에 의해, 상기 노광에 의해 발생한 산이 감방사선 조성물의 제2성분인 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물과 반응한다.
상기 가열처리의 방법으로는 오븐, 핫플레이트 등을 들 수 있지만, 특히 한정되는 것은 아니다. 또한, 가열처리의 온도도 특히 한정되지 않고, 일반적으로 50∼150℃의 범위가 바람직하다.
다음에, 상기 가열처리 후의 감방사선층을 알카리수용액으로 현상처리하고, 순수한 물로 상기 현상액을 세류한 후, 기판을 건조시킨다.
상기 현상액인 알카리수용액으로는, 예컨대 수산화칼륨, 수산화나트륨, 탄산나트륨, 케이산나트륨, 메타케이산나트륨 등의 무기알카리수용액과, 테트라메틸암모늄하이드로옥시드수용액, 트리메틸히드록시에틸암모늄히드로옥시드수용액 등의 유기알카리수용액, 또는 이러한 알콜, 계면활성제 등을 첨가한 것등을 이용할 수 있다.
상기한 실시예에 있어서는 화학방사선의 조사에 의해 산을 발생하는 화합물 및 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 레지스트를 기판상에 도포하여 레지스트층을 형성한다. 여기서, 상기 레지스트층의 소망 패턴형성 예정부를 제거하는 영역을 노광하는 것에 의해 패턴형성 예정부를 노광할 때에 산활광의 영향을 받는 영역을 감광시킨다.
다음에, 그 표면을 염기성 화합물로 처리함으로써, 상기 노광을 실시한 부위에 발생한 산을 비활성화시킨다. 이로 인해, 상기 산활광의 영향을 받는 영역은 감도가 저하되고, 산활광의 영향을 받기 어렵게 된다.
다음에, 패턴형성 예정부를 노광하고, 패턴형성 예정부에 산을 발생시킨다. 계속해서, 가열처리를 행하는 것에 의해, 상기 패턴형성 예정부에 발생한 산과 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물이 반응하고, 현상액에 대한 용해성이 변화한다. 다음에, 현상처리하는 것에 의해 소망 레지스트패턴이 형성된다.
즉, 상기한 실시예에 따르면, 패턴형성 예정부의 주위가 산활광의 영향을 받기 어렵게 되고, 레지스트패턴 형성후의 단면이 구형상으로 되는 양호한 미세패턴을 안정하게 형성할 수 있다.
다음에, 구체적인 감방사선 조성물의 조정예에 대해 아래에 설명한다.
하기 표 1에 나타낸 감방 사선조사에 의해 산을 발생하여 얻는 화합물(산을 발생하는 화합물)과, 하기 표 2에 나타낸 발생한 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물(산에 의해 분해되는 화합물) 및, 하기 표 3에 나타낸 알카리 가용성 중합체를 하기 표 4에 나타낸 비율로 배합하고, 표 4에 나타낸 종류 및 양의 유기용매로 용해시킨 후, 0.2㎛의 셀루로이즈제 멤부란필터를 이용하여 여과하는 것에 의해 6종의 감방사선 조성물을 조제한다.
이하, 제1 내지 제6실시예와, 각각의 실시예에 대한 비교의 결과에 관해 설명한다.
[실시예 1]
우선, 상기 표4에 기재된 감방사선 조성물(RE-1)을 6인치의 실리콘웨이 퍼상에 스핀코트하고, 90℃의 핫플레이트상에서 90초간 후리베이크하여 두께 1.0㎛의 감방사선 조성물층(레지스트막)을 형성한다. 계속해서, 패턴형성 예정부를 제거하는 영역을 마스크를 매개로 KrF 엑시머레이저 스테퍼에 의해 25 mJ/㎠로 노광한 후, 암모니아 분위기에서 30분간 방치하고, 패턴형성 예정부를 마스크를 매개로 KrF 엑시머레이저 스테퍼에 의해 30mJ/㎠로 노광한다. 계속해서, 100℃의 핫플레이트상에서 90초간 베이크한 후, 2.38% 농도의 테트라메틸암모늄하이드로옥시드 수용액(이하, TMAH 수용액으로 칭함)에 60초간 침지하여 상기 레지스트막을 현상하고, 거듭 물로 씻어 건조하는 것에 의해 레지스트패턴을 형성한다.
얻어진 레지스트패턴을 주사형 전자현미경(이하, SEM으로 칭함)으로 관찰한 바, 0.3㎛의 패턴이 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 1]
상기 실시예1에 있어서 패턴형성 예정부를 제거하는 영역의 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 이외는 실시예1과 동일한 조건으로 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[실시예 2]
우선, 상기 표4에 기재된 감방사선 조성물(RE-2)을 6인치의 실리콘웨이퍼상에 스핀코트하고, 90℃의 핫플레이트상에서 300초간 후리베이크하여 두께 1.0㎛의 감방사선 조성물층(레지스트막)을 형성한다. 계속해서, 패턴형성 예정부의 범위 5.0㎛의 영역을 마스크를 매개로 KrF 엑시머레이저 스테퍼에 의해 30mJ/㎠로 노광한 후, 헥사메틸지실라전 분위기에서 15분간 방치하고, 패턴형성 예정부를 마스크를 매개로 KrF 엑시머레이저 스테퍼에 의해 40mJ/㎠로 노광한다. 계속해서, 110℃의 핫플레이트상에서 120초간 베이크한 후, 2.38% 농도의 TMAH 수용액에 45초간 침지하여 상기 레지스트막을 현상하고, 거듭 물로 씻어 건조하는 것에 의해 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM으로 관찰한 바, 0.3㎛의 패턴이 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 2]
상기 실시예2에 있어서 패턴형성 예정부를 제거하는 영역에 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 이외는 실시예2와 동일한 조건으로 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[실시예 3]
우선, 상기 표4에 기재된 감방사선 조성물(RE-3)을 6인치의 실리콘웨이퍼상에 스핀코트하고, 100℃의 핫플레이트상에서 120초간 후리베이크하여 두께 1.0㎛의 감방사선 조성물층(레지스트막)을 형성한다. 계속해서, 패턴형성 예정부를 제거하는 범위 2.0㎛의 영역에 대해 전자선을 가속전압 20keV, 조사량 4μc/㎠의 조건에서 노광한 후, 암모늄 분위기에서 30분간 방치하고, 패턴형성 예정부에 대해 전자선을 가속전압 20keV, 조사량 4μc/㎠의 조건에서 노광한다. 계속해서, 110℃의 핫플레이트상에서 120초간 베이크한 후 2.38% 농도의 TMAH 수용액에 60초간 침지하여 상기 레지스트막을 현상하고, 거듭 물로 씻어 건조하는 것에 의해 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM으로 관찰한 바, 0.3㎛의 패턴이 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 3]
상기 실시예3에 있어서 패턴형성 예정부를 제거하는 영역의 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 이외는 실시예3과 동일한 조건으로 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[실시예 4]
우선, 상기 표4에 기재된 감방사선 조성물(RE-4)을 6인치의 실리콘웨이퍼상에 스핀코트하고, 90℃의 핫플레이트상에서 150초간 후리베이크하여 두께 1.0㎛의 감방사선 조성물층(레지스트막)을 형성한다. 계속해서, 패턴형성 예정부를 제거하는 영역을 마스크를 매개로 i선 스테퍼에 의해 70mJ/㎠로 노광한 후, 헥사메틸디실라잔 분위기에서 30분간 방치하고, 패턴형성 예정부를 마스크를 매개로 i선 스테퍼에 의해 100mJ/㎠로 노광한다. 계속해서, 90℃의 핫플레이트상에서 120초간 베이크한 후 2.38% 농도의 TMAH 수용액에 30초간 침지하여 상기 레지스트막을 현상하고 거듭 물로 씻어 건조하는 것에 의해 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM으로 관찰한 바, 0.3㎛의 패턴을 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 4]
상기 실시예4에 있어서 패턴형성 예정부를 제거하는 영역의 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 이외는 실시예4와 동일한 조건으로 레지스트패턴을 형성한다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[실시예 5]
감방사선 조성물로서, 상기 표4에 기재된 RE-5를 이용한 것 외에는 상기 실시예1과 동일한 조건에서 레지스트패턴을 형성하였다. 얻어진 레지스패턴을 SEM으로 관찰한 바, 0.3㎛의 패턴이 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 5]
상기 실시예5에 있어서 패턴형성 예정부를 제거하는 영역의 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 외에는 실시예5와 동일한 조건으로 레지스트패턴을 형성했다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[실시예 6]
감방사선 조성물, 상기 표4에 기재된 RE-6을 이용한 것 외에는 상기 실시예1과 동일한 조건에서 레지스트패턴을 형성하였다. 얻어진 레지스트 패턴을 SEM으로 관찰한 바, 0.3㎛의 패턴이 고립패턴과 라인 앤드 스페이스 패턴에서 치수차가 없는 상태로 형성되어 지고, 산활광에 의한 영향은 인지되지 않았다.
[비교예 5]
상기 실시예 6에 있어서 패턴형성 예정부를 제거하는 영역의 노광과 그후의 염기성 화합물에 의한 처리를 행하지 않는 것 외에는 실시예6과 동일한 조건으로 레지스트패턴을 형성했다. 얻어진 레지스트패턴을 SEM에 의해 관찰한 바, 0.3㎛의 고립패턴과 라인 앤드 스페이스패턴에서 치수차가 확인되었다.
[발명의 효과]
상기한 바와 같이 본 발명에 따른 전자부품의 패턴형성방법에 의하면, 자외선, 전리방사선 등의 파장이 짧은 화학방사선을 이용한 리소그라피에 적용되는 화학증폭형 레지스트의 산활광에 의한 패턴치수 변동에 따른 영향을 저감할 수 있고, 단면이 구형상의 양호한 패턴을 안정하게 형성할 수 있기 때문에 LSI 등의 반도체장치의 미세가공공정에 유효하게 이용할 수 있는 등의 현저한 효과가 있다.

Claims (3)

  1. 화학방사선의 조사에 의해 산을 발생하는 화합물 및 상기 산에 의해 분해되어 얻는 결합을 적어도 1개 갖춘 화합물을 함유하는 감방사선 조성물을 기판상에 도포하여 감방사선층을 형성하는 공정과, 상기 감방사선층의 소망 패턴형성 예정부를 노광하는 공정, 다음에, 상기 감방사선층의 표면을 염기성 화합물로 처리하고, 상기 노광을 실시한 부위에 발생한 산을 비활성화시키는 공정, 상기 염기성 화합물로 처리된 감방사선층의 패턴형성 예정부를 노광하는 공정 및, 다음에, 상기 기판을 가열처리한 후, 상기 감방사선층을 현상처리하는 공정을 구비하여 이루어지는 것을 특징으로 하는 전자부품의 패턴형성방법.
  2. 제1항에 있어서, 상기 패턴형성 예정부를 제거하는 영역을 노광하는 공정이 상기 패턴형성 예정부의 주위 5.0㎛ 이내의 범위를 노광하는 것을 특징으로 하는 전자부품의 패턴형성방법.
  3. 제1항에 있어서, 상기 패턴형성 예정부를 제거하는 영역을 노광하는 공정이 상기 감방사선층을 소망의 패턴치수로 완성하기 위한 적정노광량 보다도 적지 않은 노광량으로 노광하는 것을 특징으로 하는 전자부품의 패턴형성방법.
KR1019950039562A 1994-11-07 1995-11-03 전자부품의 패턴형성방법 KR0179718B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP27227694A JP3425243B2 (ja) 1994-11-07 1994-11-07 電子部品のパターン形成方法
JP94-272276 1994-11-07

Publications (2)

Publication Number Publication Date
KR960019542A KR960019542A (ko) 1996-06-17
KR0179718B1 true KR0179718B1 (ko) 1999-04-15

Family

ID=17511600

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950039562A KR0179718B1 (ko) 1994-11-07 1995-11-03 전자부품의 패턴형성방법

Country Status (3)

Country Link
US (1) US5814432A (ko)
JP (1) JP3425243B2 (ko)
KR (1) KR0179718B1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3320648B2 (ja) * 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
KR100271641B1 (ko) * 1998-01-14 2001-03-02 김영환 포토레지스트 패턴형성방법
US6177229B1 (en) * 1998-01-30 2001-01-23 Kabushiki Kaisha Toshiba Photosensitive composition
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6107002A (en) * 1998-10-29 2000-08-22 Micron Technology, Inc. Reducing resist shrinkage during device fabrication
TW538056B (en) * 2000-07-11 2003-06-21 Samsung Electronics Co Ltd Resist composition comprising photosensitive polymer having lactone in its backbone
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6713236B2 (en) * 2002-07-03 2004-03-30 Infineon Technologies North America Corp. Lithography method for preventing lithographic exposure of peripheral region of semiconductor wafer
US20080115733A1 (en) * 2006-11-21 2008-05-22 Alfa-Pet, Inc. Animal bedding and method of manufacture
WO2015174199A1 (ja) * 2014-05-15 2015-11-19 Dic株式会社 変性フェノール性水酸基含有化合物、変性フェノール性水酸基含有化合物の製造方法、感光性組成物、レジスト材料及びレジスト塗膜
JP7238788B2 (ja) * 2017-12-13 2023-03-14 株式会社ニコン パターン形成方法、トランジスタの製造方法及びパターン形成用部材

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4533624A (en) * 1983-05-23 1985-08-06 Sperry Corporation Method of forming a low temperature multilayer photoresist lift-off pattern
US4690880A (en) * 1984-07-20 1987-09-01 Canon Kabushiki Kaisha Pattern forming method
JPH04199152A (ja) * 1990-11-29 1992-07-20 Toshiba Corp 感光性組成物
DE4214363C2 (de) * 1991-04-30 1998-01-29 Toshiba Kawasaki Kk Strahlungsempfindliches Gemisch zur Ausbildung von Mustern
US5292614A (en) * 1991-08-02 1994-03-08 Mitsubishi Kasei Corporation Negative photosensitive composition and method for forming a resist pattern
JP3281053B2 (ja) * 1991-12-09 2002-05-13 株式会社東芝 パターン形成方法
KR0134753B1 (ko) * 1993-02-26 1998-04-18 사토 후미오 폴리아미드산 조성물
JPH0784119A (ja) * 1993-09-17 1995-03-31 Sumitomo Chem Co Ltd 機能性塗膜等の形成方法
US5652084A (en) * 1994-12-22 1997-07-29 Cypress Semiconductor Corporation Method for reduced pitch lithography
JPH08262743A (ja) * 1995-03-24 1996-10-11 Mitsubishi Electric Corp レジスト材料およびレジストパターン形成方法

Also Published As

Publication number Publication date
KR960019542A (ko) 1996-06-17
JP3425243B2 (ja) 2003-07-14
US5814432A (en) 1998-09-29
JPH08138993A (ja) 1996-05-31

Similar Documents

Publication Publication Date Title
KR950006953B1 (ko) 패턴형성방법
TW573213B (en) Photoresist composition for deep UV radiation
EP1122611B1 (en) Method of reducing surface defects of a patterned resist layer and use of aqueous acidic solution in said method
JP4410977B2 (ja) 化学増幅レジスト材料及びそれを用いたパターニング方法
KR0179718B1 (ko) 전자부품의 패턴형성방법
KR20010015280A (ko) 포토레지스트패턴의 형성방법
JP2004266270A (ja) 微細パターン形成方法
JPS6313035A (ja) パタ−ン形成方法
JP3441167B2 (ja) 感光性組成物及びそれを用いたパターン形成方法
JP3415799B2 (ja) レジスト材料及びパターン形成方法
JP3433153B2 (ja) パターン形成材料、パターン形成方法、及び露光用マスクの製造方法
JPH02248952A (ja) 感光性組成物
JPH1195418A (ja) フォトレジスト膜及びパターン形成方法
JP3383564B2 (ja) パターン形成方法および感光性組成物
JPH06342212A (ja) 微細パターン形成用レジストおよび微細パターン形成方法
JPH1195424A (ja) 感光性組成物およびそれを用いたパターン形成方法
JPH07134416A (ja) 放射線感応性樹脂組成物
JP3517144B2 (ja) 感光性組成物
JP3290793B2 (ja) 感光性組成物、及びこれを用いたパターン形成方法
JPH06194848A (ja) 電子部品のパターン形成方法
US6177229B1 (en) Photosensitive composition
JPH03253858A (ja) パターン形成材料及びパターン形成方法
JPH05323590A (ja) 化学増幅型レジスト組成物
JP3299240B2 (ja) パターン形成方法
JP2856593B2 (ja) レジストパターンの形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20081027

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee