JPS6149443A - チツプ介在体の製造方法 - Google Patents

チツプ介在体の製造方法

Info

Publication number
JPS6149443A
JPS6149443A JP60153406A JP15340685A JPS6149443A JP S6149443 A JPS6149443 A JP S6149443A JP 60153406 A JP60153406 A JP 60153406A JP 15340685 A JP15340685 A JP 15340685A JP S6149443 A JPS6149443 A JP S6149443A
Authority
JP
Japan
Prior art keywords
chip
support
manufacturing
interposer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60153406A
Other languages
English (en)
Other versions
JPH0517708B2 (ja
Inventor
ピーター・ゲルダマンス
ガンガダーラ・スワミ・マサド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS6149443A publication Critical patent/JPS6149443A/ja
Publication of JPH0517708B2 publication Critical patent/JPH0517708B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15312Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a pin array, e.g. PGA
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0296Conductive pattern lay-out details not covered by sub groups H05K1/02 - H05K1/0295
    • H05K1/0298Multilayer circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0306Inorganic insulating substrates, e.g. ceramic, glass
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/111Pads for surface mounting, e.g. lay-out
    • H05K1/112Pads for surface mounting, e.g. lay-out directly combined with via connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/115Via connections; Lands around holes or via connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49147Assembling terminal to base
    • Y10T29/49149Assembling terminal to base by metal fusion bonding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base
    • Y10T29/49167Manufacturing circuit on or in base by forming conductive walled aperture in base with deforming of conductive path

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Printing Elements For Providing Electric Connections Between Printed Circuits (AREA)
  • Wire Bonding (AREA)
  • Die Bonding (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 次の順序で本発明を説明する。
A、産業上の利用分野 B、開示の概要 C0従来技術 り0発明が解決しようとする問題点 E0問題点を解決するための手段 F、実施例 G1発明の効果 A、産業上の利用分野 この発明は、集積回路チップのための介在体(inte
rposer)に関し、特に、チップ介在体の製造方法
に関するものである。このチップ介在体の製造は、多く
のチップ位置を特徴化し、後で各々が1個乃至複数個の
チップを担持し得る複数のチップ介在体に分断されるべ
き基板を用いて開始される。
B、開示の概要 この発明により開示されるのは、セラミック・シートな
どの絶縁支持体25上に形成されたTFR(薄膜再配置
体)状の構造11を備えた多重チップ介在体の製造方法
である。好適な一実施例によれば、先ずクロム層が支持
体の裏面上に付着されて、あとのエツチング工程のため
のマスク物質として働き、そのあと標準的なフォトリソ
グラフィック工程を用いてパターンが画成される。セラ
ミック・シートは、貫通孔を形成すべき領域で露出され
る。次に、乾式プラズマ・エツチングまたはレーザーを
援用した化学的エツチング技術を用いて貫通孔がエツチ
ングされる。このときTFR構造の下位金属レベルがエ
ッチ・ストップとして使用される。次に、銅などの導電
物質が支持体の底面にスパッタされる。これにより、銅
が貫通孔の内壁を被覆し、支持体の上面と底面との間で
電気的接続がはかられる。
余剰のクロム及び銅は、例えば標準的な湿式または乾式
エツチング技術を用いて除去され、貫通孔の周囲のはと
め孔形の接点パッドのみが残される。次に多重チップ介
在体は機能性と完全性につき試験される。次に多重チッ
プ介在体は複数の単一チップ介在体に切断され、それら
のうちの良品はあとの処理のために選別される。
図面節11A、11B及び12図から見てとれるように
、接点パッド31を設けられてなる2個の単一チップ介
在体24A、24Bは、金属を充填された貫通孔があら
れれる箇所で、金属端子パッドを設けられてなる多層セ
ラミック(M、LC)基板12上にろう付けまたははん
だ付けされる。
VLSI半導体チップ14は標準的なはんだ接合23を
介して接着される。
C0従来技術 集積回路チップは小さいはんだボール・ジヨイント(C
−4ジヨイントとして知られる)を介して多層セラミッ
ク基板(MLC)上に取り付けられ、さらに、はんだボ
ール・ジヨイントは基板上のパッドにチップを接着する
ために使用されることがよく知られている。
現在の技術では、MLC基板が幾つかの電気的な機能を
達成する。すなわち、その基板は電圧面や信号ラインや
アース面や″再配置面を与える。再配置面とは、モジュ
ール上部における一組の平面であり、MLCモジュール
の内部の幅の広い貫通グリッドを、チップ接続用のより
緊密なグリッドに対して移動させるものである。この再
配置面は、きわめて密度の高い貫通グリッドとワイヤを
含むために製造が困難である。再配置面はまた、望まし
くない信号ノイズの発生源にもなる。
さて、将来においてはチップとMLCの間で必要となる
結線が増大するのみならず、現在のチップよりも一層密
度の高いグリッド上で接続をはかることが必要になると
考えられる。この要請により、MLCを経済−的に製造
することが困難になっているのであり、また、一層複雑
な再配置面が必要となるため、電気ノイズが増大し歩ど
まりの問題が生じてくる。
これらの問題は、TFR(薄膜再配置体)としても知ら
れる微細ライン・ワイヤを用いてMLCの上部に再配置
体を配置することにより解決することができた。この微
細ライン・ワイヤはアース面とワイヤ面とから成る。そ
して、チップとの接続は、M、LC基板の上面の貫通孔
に接続する端子パッドを援用することにより、TFRの
上面で行なわれる。TFRは特徴パターンを形成するた
めのフォトリソグラフィに依存する。このTFR構造に
ついては例えば米国特許第3726002号及び第39
68193号を参照されたい。
TFRを組み込むことになるMLC基板は複数の平面に
多重レベル金属化層を備えている。さらに、金属を充填
した複数の貫通孔によ。す、基板を形成するMLC層を
貫通する垂直導電パスが形成される。
TFR構造は基本的には複数の絶縁層(例えばポリイミ
ドまたはガラス)によって分離された異なるレベルに配
置された金属層を備えている。これらの異なるレベルの
金属層の間の垂直結線は貫通孔を介して行なわれる。一
つの例においては、第ルベルの金属はクロム−銅−クロ
ム(Cr−Cu−Cr)であり、第2レベルの金属は銅
−クロム(Cu−Cr)である。尚、チップはんだボ!
      −ルの接続を可能ならしめるため金属の領
域をさらに形成してもよい。
TFRを有する将来の基板は幾つかのチップを支持する
必要があろう。そして、この基板のサイズは約6.5X
6.5a#から約20X20a#の範囲にあり、典型的
には約10X10a#であろう。
この場合はTFR用にワイヤが必要であるため冗長なラ
インのために十分なスペースを充てることが許されない
。言いかえると、基板を正常に動作させようとするなら
ば、TFR上のすべてのラインが電気的に完全でなけれ
ばならない。もし基板とTFRの組み合わせが完全無欠
でないならば、その(高価な)基板は廃棄されるか、ま
たはTFRを剥ぎ取って基板全体に亘って再び複雑な処
理を繰り返すか、またはそれと同等に費用のかかる処理
に従事する必要があり、何れにしても費用がかかる。つ
まり、10X10altに亘って微細なラインからなる
欠陥のない平面を高歩どまりで経済的に製造することは
、現在予見される技術水準を超えるものである。
この歩どまりの問題を処理するべく、MLC基板から分
離した。簡易で安価なセラミック片上にTFRを形成す
るための周知の手段がある。ごのセラミックは、パンチ
、スクリーニング及び積層化という周知の技術を用いて
形成され、前面から背面へ至る貫通接続部のみを含んで
いる。
この技術によれば、TFRの良好な部片を選別して不良
の部片を棄却することが可能となる。そしてこの良好な
部分が次にMLC基板に接着される。
TFRの部片を経済的に製造するために(すなわち、バ
ッチ製造の利益を受けるために)、TFRの部片は大き
いセラミック片上に製造する必要がある。このバッチ製
造の限界は、前面から背面への貫通孔の正確な位置決め
について不確定であることにある。この不確定さは基板
間、及び1つの基板内での焼結収縮に偏差から生じてく
るものである。前に述べたように、TFRはフォトリソ
グラフィを用いて形成されるが、このフォトリソグラフ
ィは、その微細ライン・ワイヤの形成能力を達成するた
めに、整合すべきすべての表面の特徴の正確な位置決め
に依存する。
IBMテクニカル・ディスクロージャ・プレティン(T
echnical Disclosure Bulle
tin) Vol、18、No、5、pp、1440及
び1441に掲載のM、T、マクマホン(McMaho
n)著の11モジユ一ル用半導体デバイス担持体(Se
miconductor device carrie
r formodules)”と題する文献は従来技術
の好適な一例であり、これによればMLC基板の本体と
ほぼ同様な技術により製造された介在体(interp
oser)が提示される。この介在体は、未焼結のシー
トを鋳造し、パンチし、ラインをスクリーニングし焼結
することにより形成される。しかしここで述べられてい
る介在体にはアース平面が含まれておらず、従ってTF
R状の構造を完成することはできない。
上述の文献では、いわゆるスクリーンされた貫通孔であ
る結線を形成するために従来のパンチング及びスクリー
ニング技術が使用される。
しかし、パンチング及びスクリーニングは費用がかかる
工程として知られており、バッチ処理には直接適用する
ことができない。さらに、試験の点においても単一チッ
プ介在体に特有の欠点がある。すなわち、フォトリソグ
ラフィによって形成された多重チップ介在体のような広
い領域を検査することの方が、チップ配置が厳密に正し
い位置にあるがゆえに、より望ましい。
その結果、多重チップ介在体または支持体もまた従来技
術で示唆されている。例えば、IBMテクニカル・ディ
スクロジャ・ブレティン、Vol、23、No、9.1
981年2月、pp、4062−4063に掲載のS、
M。
ジエンセン(Jensen)他による″ピン/チップ支
持構体(Pin/Chip Carrier Asse
mbly)”と題する文献を参照されたい。しかし、こ
の文献に記載されている多重チップ介在体もまた標準的
なMLC技術に基づき製造される。それゆえ、上述した
欠点のうちの大部分は依然として残存している。特に、
もし介在体中に何らかの欠陥が見出されたなら、その部
片全体が廃却されなくてはならない。
D0発明が解決しようとする問題点 この発明の主な目的は、MLC基板とは独立して、複数
のチップのためのTFR状構造をもつ多重チップ介在体
を、高い製造歩どまりを与えるように製造することので
きる新規な方法を提供することにある。
この発明の別の主な目的は、表面にTFRを形成する前
に貫通孔を形成されるセラミック物質の収縮の内在的な
偏差に関連する問題を低減することにある。
この発明のさらに別の目的は、単一チップ介在体に分断
される複数のチップのためのTFR状の構造をもつ多重
チップ介在体を製造し、以てMLC基板と半導体チップ
との間の単一介在体として使用すべき良好な部片のみを
選択することを可能ならしめ、より複雑なパッケージに
対しても高い歩どまりをもたらすことにある。
この発明のさらに別の目的は、貫通孔を形成する工程が
、フォトリソグラフィック技術と完全に適合するような
介在体の製造方法を提供することにある。
この発明のさらに別の目的は、TFR構造をもち、その
TFR構造が平板で未処理の絶縁支持体上に形成されて
なる多重チップ介在体を製造する新規な方法を提供する
ことにある。  5この発明のさらに別の目的は、上記
貫通孔の形成工程が、高生産高のバッチ処理に適合する
プラズマまたはレーザー・エツチングのような乾式エツ
チングにより実行されるような新規な方法を提供し、以
て製造コストを低減することにある。
E1問題点を解決するための手段 この発明によれば、例えばガラス・セラミック・シート
のような絶縁支持体上に形成されたTFR状の構造をも
つ多重チップ介在体の製造方法が記述される。この絶縁
支持体には接点用パッドと対応する金属化された複数の
貫通孔が設けられており、これによりその支持体の表裏
間のみならず基板への装着面に対しても電気的接続をは
かることが可能となる。そのあと支持体は単一チップ介
在体へと分断され、その介在体は選別され、そのうちの
良品のみがチップと多重セラミック(MLC)基板の間
の介在体として使用される。
この発明の第1の好適な実施例によれば、TFR状構造
のような薄膜微細ライン金属線が、所望のパターンに従
ってセラミック(ガラス・セラミックまたは標準セラミ
ック)のシート上に付着される。TFRが付着された後
は、次のようにして貫通孔が形成されるニ クロムなどの適当なマスク物質が支持体の裏面に付着さ
れて、後のエツチング工程のためのマスク物質として働
く。次に、クロム層上にはフォトレジストが被覆され、
適当なマスクを介して露光されて現像され、これにより
貫通孔を形成すべき部分のクロム層の部分が露出された
状態となる。
次に、露出されたクロムが除去される。次に支持体に貫
通孔を形成するために乾式プラズマ・エツチングが使用
される。フォトレジストの残りの部分は剥離される。こ
の貫通孔は、少くともその一部を(例えば支持体の背面
に銅のブランケット・スパッタリングにより)結線用金
属で充填され、これにより支持体の上下面の間の電気的
接続がはかられる。銅(及び下層のクロム)の不必要な
部分は次に標準的なフォトリソグラフィック及びエツチ
ング工程を用いて除去される。次に、支持体が単一チッ
プ介在体に分断される前に電気的な試験が行なわれる。
この試験の間に欠陥箇所が検出されたならば、良好な部
片のみがあとの処理のために選別される。次にチップ介
在体は、同様なグリッドに従って配置された端子パッド
を設けてなるMLC基板上にはんだ付けまたはろう付け
される。
このことにより(貫通孔とTFRが正しく整列しないと
いう)従来技術における重大な問題が低減される。もし
TFRが最初に形成され1次にフォトリソグラフィック
技術を用いて貫通孔が形成されるのであるなら、貫通孔
とTFRは一層容易に形成される。
F、実施例 第2図は、絶縁支持体25上に形成されTFR構造11
を備えた多重チップ介在体24の部分断面図である。こ
の発明は第1図に関連して詳細に示したような標準的な
TFR構造により図示される(それゆえ同一の構成につ
いては同番号を付する)けれども、TFR構造は一例と
して参照されているにすぎないことを理解されたい。絶
縁支持体の表面に形成された金属18のような薄膜微細
金属ラインもまた同様に適当なものである。この発明の
教示するところによれば、上記絶縁支持体はセラミック
物質からなる未加工のシートであり、約0.1mm〜3
mの範囲厚さのセラミック及びガラス・セラミックの両
方を含んでいる。特定の適用例では、約1mの厚さのガ
ラス・セラミックが選択される。というのは、それの膨
張率がシリコンの膨張率とかなり近いからである。しか
し、この発明はガラス・セラミックに限定されるもので
はない。すなわち、好適には反応性イオン・エツチング
またはレーザーを援用した化学エツチングを用いてエツ
チングされうるものであるなら、別の物質を用いてもよ
い。尚、TFR構造が十分に処理され、平面でなく不規
則に縮小したMLC基板上に形成されるところの従来技
術に比較して、TFR構造を形成するための出発物質と
して平板で平滑な未処理の支持板を使用し得ることは大
きな利点である。第3図において見てとれるように、マ
スク物質の層26は支持体25の底面上に形成される。
このマスク物質としては、セラミック基板によく接着し
、基板の選択的エツチングを可能ならしめる任意の物質
(例えばクロムまたはアルミニウム)が選択される。こ
の適用例においては、接着性のよい薄膜として、例えば
200nm厚のクロム膜が支持体25の裏面全体に蒸着
される。クロムが選択されたのは、それのセラミック及
びガラス基板への接着性が優れているからである(クロ
ムはまた、TFR構造の第ルベルの金属M18の下層で
もある。
第4図において見てとれるように、例えばA。
Z、シプレー(Shipley)によって製造されたA
Z1350Jであり厚さ1〜2μmのフォトレジスト層
27が、クロム層26を被覆するように付着される。そ
して標準的なフォトリソグラフィック工程を用いて、フ
ォトレジスト層がマスク(図示しない)を介して露光さ
れ、現像される。これにより、貫通孔が形成されるべき
領域28においてクロム27が露出される。
露出されたクロム領域は好適には乾式エツチングを用い
てエツチングされる。例えば、このクロムは、CCQ4
−アルゴンまたはCCU 4− N2 (例えば50%
−50%)プラズマのような塩素化されたプラズマ中で
反応性イオン・エツチング装置を用いてエツチングされ
る。このときの圧力は約20マイクロバールでエネルギ
ー密度は約IW/dである。こうして出来上がった構造
は第5図に示されている。
このとき、マスク物質26のエツチングは、フォトレジ
スト・マスク27を使用することなく、水晶投影マスク
上の標準的なりロムを介して、塩素を使用してエキシマ
(Excimer)レーザーにより直接エツチングして
もよい。
次に第6図を参照すると、この発明のキー・ポイントと
なる工程が図示さ九でおり、これによれば、第ルベルの
金属層18に到達するまで、セラミック支持体を介して
ほぼ円筒形の貫通孔29のエツチングが行なわれる。こ
の貫通孔の直径は約100μmである。このエツチング
工程はCF4−02(例えば90%−10%)のような
フッ化プラズマを用いて反応性イオン・エツチング装置
中で行なわれ、このときの圧力は約20マイクロバール
、エネルギー密度IW/cdである。このRIE処理は
層18の下層であるクロムの表面を、自然のエッチ・ス
トップとする。あるいは、例えば好適な高圧プラズマ反
応器中で、ITorr、4W/n(という高圧プラズマ
・エツチングを使用することもできる。
次に、第7図において見てとれるように、結線金属が、
貫通孔の内壁を含む支持体の背面全体上にブランケット
付着されて連続的な層30が形成され、これにより支持
体25の上面の金属層と背面の間の電気的接続がはから
れる。
その結線用金属としては、高導電性である銅が好ましい
。銅は、スパッタリングにより約1μmの厚さで付着さ
れ、これにより水平部分のみならず垂直方向の被覆がは
かられる。銅は貫通孔の内部に到達し、優れた被覆性と
付着性を発揮する。
第8図は、フォトリングラフイック工程を用いて余剰の
銅とクロムとを除去した後の構造をあられす図である。
このとき、比較的深い貫通孔が存在しているために、標
準的なフォトレジスト付着技術をそのまま適用すること
はできない。このため図示しないが、この工程を実行す
るに際してはリストン(Riston :これは米国デ
ュポン(Du −P。
ntde Nemours)社の商標である)のような
感光性のポリマ薄膜をマスク上に貼りつけることが望ま
しい。これらの金属の除去は、湿式処理(C11に対し
てはF e CQ3、Orに対してはKMnO4)、ま
たはレーザーを援用したCO2中の銅及びクロム・エツ
チングのような乾式エツチングのどちらを用いても達成
することができる。第8図から見てとれるように、貫通
孔の周囲の金属は′、はとめ礼状の接点パッド31とし
て残され、下層のクロム・リングは上述したようにその
接着性を改善する働きをもつ。このとき、円形でなく長
方形のパッドを形成してもよい。
別の実施例として、クロム層に開口28(第5図)が形
成された後に、エツチング・ガスとじて(例えば昇華X
’eF2)反応性ガスを用いてセラミック本体に貫通孔
29(第6図)をエツチングするために、レーザーを導
入した化学エツチングを行ってもよい。このとき、ハロ
ゲン雰囲気もまた好適である。
動作条件の範囲は次のようである: 圧カニ約0.5Torrよりも大 レーザー:約10.6pmのCO2 パルス周波数:装置により(10−1000Hz)ピー
ク出カニ約1ジュール/パルスより大レーザー励起によ
る化学的エツチング技術を用いた他の実施例においては
、貫通孔は、(マスク技術を使用することなく)Nd 
: YAGまたはC02レーザー(CO2レーザーの方
がエツチング速度が大きいので好ましい)を直接用いた
所望の貫通孔パターンを投影し、物質とXeF2ガスと
を反応せしめることにより、第2図に示したセラミック
支持体の底面上で直接エツチングを行うことができる。
次にクロムと銅がスパッタ付着され、余剰の金属がエツ
チングにより除去されて、上述したのと同様に貫通孔の
まわりには金属のはとめ孔が残される。こうして出来上
がった構造は、貫通孔の内部にも下層にCr膜が存在し
ていることを除いては、第8図の構造に類似している。
また、レーザーの処理条件もNd : YAGまたはC
O2の各々で同様である。
この時点で多重チップ介在体24が完成する。
第9A及び9B図は、それぞれ、4個の半導体チップの
ための介在体の平面図と底面図をあられしている。
これらすべての寸法はフォトリソグラフによって決定さ
れ、大型の製品に適合するものである。
技術の進歩に伴って、ますます大型のチップ介在体が本
発明の教示に従い、寸法許容度の調節に注意を払う必要
なく製造され得る。
多重チップ介在体24は、ここで機能と電気的完全性に
つき試験される。(切断を行う前に)広い面積を探針す
ることにより電気的に試験することは容易である。なぜ
なら、すべてのチップの位置が厳密に正しい位置にある
からである。
多重チップ介在体は、ここで単一チップ介在体(第9A
及び9B図で24A〜24Dの参照番号を付したもの)
に分断される。介在体を異なる部分に画定するには任意
の方法を用いることができる。
ここで第11A及びIIB図を参照して、MLC基板な
どの基板への単一チップ介在体の接着について説明しよ
う。このとき、金属を充填した貫通孔をもつ標準的な裸
のセラミック基板でもよいが、先ず上記貫通孔の頂上に
さらに金属を付着しておくことが好ましい。
これに適当な金属はモリブデン−ニッケルー金(M o
 / N i / A u )である。介在体上の接点
パット31は、ろう付けまたははんだにより上記金属ア
イランド34に接着される。そうして接着は、(第10
A図に示すように)パッドとアイランドとを整合させる
か、(第10B図に示すように)それらをオフセットさ
せるかのどちらかによって達成することができる。
1′        第11図は、MLC基板12の部
分断面図であり、MLC基板12上には、各々がVLS
I半導体チップを担持する一対の単一チップ介在体24
A、24Bが取り付けられている。尚、図示しないが、
チップ・はんだパッドを介在体上の各々のチップ・パタ
ーンに接着するためには周知のはんだリフロー(sol
der reflow)技術が援用される。
これについては、米国特許第337410号及び第34
29949号を参照されたい。
G0発明の効果 以上のように、この発明によれば、半導体チップと基板
との間に配置される介在体に、エツチングにより貫通孔
を形成し、この貫通孔に金属を蒸着することにより介在
体の底面と、介在体上に載置した例えばTFR膜との間
の電気的接続をはかり1次に介在体を切断するようにし
たので、切断された介在体のうちの良品のみを選択でき
るから歩どまりが向上するとともに、出発物質として未
加工のセラミックを使用でき、パンチングなどの面倒な
工程を必要としないので製造コストを著しく低減できる
という効果がある。
【図面の簡単な説明】
第1図は、MLC基板上に形成され上面に半導体チップ
を取りつけられてなるTFR基板をもつ周知の半導体デ
バイス・パッケージの部分断面図、第2図乃至第8図は
、セラミック・シート上にTFR構造を形成してなる多
重チップ介在体を本発明に基づいて順次処理してゆく状
態をあられす部分断面図、 第9A及び9B図は、それぞれ、本発明に基づき製造さ
れた多重チップ介在体の平面図及び底面図、 第1OA及び108図は、裸のMLC基板に多重チップ
を接続するための方法を示す図、第11図は、切断と選
別を経て上面にVLSIチップを取付けられた多重チッ
プ介在体を、載置された裸のMLC基板の部分断面図で
ある。 11・・・・薄膜微細ライン金属層、25・・・・絶縁
支持体、26・・・・マスク物質、29・・・・貫通孔
、30・・・・結線用金属。 介在体の平面図 vJqA図 介在体の底面図 第98図 ノ24”

Claims (5)

    【特許請求の範囲】
  1. (1)複数の半導体チップを基板に接続するための介在
    体の製造方法において、 (a)上面に薄膜微細ライン金属層をもつ絶縁支持体を
    用意し、 (b)上記支持体の底面に、該底面と接着性を有するマ
    スク物質の層を付着し、 (c)上記支持体の貫通孔を形成すべき箇所を露出する
    ように、所望のパターンに従い上記マスク物質を画成し
    、 (d)上記支持体の露出された領域を上記薄膜微細ライ
    ン金属層に到達するまでエッチングし、以て支持体を貫
    通する孔を形成し、 (e)上記貫通孔の内面を含む上記支持体の底面上に結
    線用金属を付着し、以て上方の上記薄膜微細ライン金属
    層と上記支持体の底面との間で電気的接続をはかり、 (f)上記貫通孔の周囲に接点用パッドを形成する工程
    、 を含むチップ介在体の製造方法。
  2. (2)上記絶縁支持体が厚さ0.1〜3mmの範囲の連
    続的なセラミックのシートからなる特許請求の範囲第(
    1)項に記載のチップ介在体の製造方法。
  3. (3)上記マスク物質が少くとも厚さ約200nmのク
    ロム及びアルミニウムの群から選択されてなる特許請求
    の範囲第(2)項に記載のチップ介在体の製造方法。
  4. (4)上記マスク物質としてのクロムのエッチング工程
    が、塩素雰囲気中のエキシマ・レーザーによる直接エッ
    チングにより行なわれてなる特許請求の範囲第(3)項
    に記載のチップ介在体の製造方法。
  5. (5)上記薄膜微細ライン金属層がCr−Cu−Crか
    らなる特許請求の範囲(4)項のチップ介在体の製造方
    法。
JP60153406A 1984-08-13 1985-07-13 チツプ介在体の製造方法 Granted JPS6149443A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/639,988 US4617730A (en) 1984-08-13 1984-08-13 Method of fabricating a chip interposer
US639988 1984-08-13

Publications (2)

Publication Number Publication Date
JPS6149443A true JPS6149443A (ja) 1986-03-11
JPH0517708B2 JPH0517708B2 (ja) 1993-03-09

Family

ID=24566380

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60153406A Granted JPS6149443A (ja) 1984-08-13 1985-07-13 チツプ介在体の製造方法

Country Status (4)

Country Link
US (1) US4617730A (ja)
EP (1) EP0171662B1 (ja)
JP (1) JPS6149443A (ja)
DE (1) DE3578614D1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998004000A1 (en) * 1996-07-22 1998-01-29 Honda Giken Kogyo Kabushiki Kaisha Plug-in type electronic control unit, connecting structure between wiring board and plug member, connecting unit between electronic parts and wiring board, and electronic parts mounting method

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61194747A (ja) * 1985-02-22 1986-08-29 Mitsubishi Electric Corp 樹脂封止型半導体集積回路装置
US4789760A (en) * 1985-04-30 1988-12-06 Advanced Micro Devices, Inc. Via in a planarized dielectric and process for producing same
US4783722A (en) * 1985-07-16 1988-11-08 Nippon Telegraph And Telephone Corporation Interboard connection terminal and method of manufacturing the same
US4820659A (en) * 1986-07-16 1989-04-11 General Electric Company Method of making a semiconductor device assembly
US4797728A (en) * 1986-07-16 1989-01-10 General Electric Company Semiconductor device assembly and method of making same
EP0260490A1 (en) * 1986-08-27 1988-03-23 Kabushiki Kaisha Toshiba Bonding sheet for electronic component and method of bonding electronic component using the same
EP0263221A1 (en) * 1986-10-08 1988-04-13 International Business Machines Corporation Method of forming solder bumps on metal contact pads of a substrate
JPS63131560A (ja) * 1986-11-17 1988-06-03 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション チップ接続構造体
US4925524A (en) * 1987-06-12 1990-05-15 Hewlett-Packard Company Method for forming tungsten structures in a semiconductor
US4880684A (en) * 1988-03-11 1989-11-14 International Business Machines Corporation Sealing and stress relief layers and use thereof
US5225771A (en) * 1988-05-16 1993-07-06 Dri Technology Corp. Making and testing an integrated circuit using high density probe points
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US4924589A (en) * 1988-05-16 1990-05-15 Leedy Glenn J Method of making and testing an integrated circuit
US5020219A (en) * 1988-05-16 1991-06-04 Leedy Glenn J Method of making a flexible tester surface for testing integrated circuits
US5512397A (en) * 1988-05-16 1996-04-30 Leedy; Glenn J. Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
WO1990003045A1 (en) * 1988-09-15 1990-03-22 Unisys Corporation Method of forming holes in ceramic ic packages
CA2002213C (en) * 1988-11-10 1999-03-30 Iwona Turlik High performance integrated circuit chip package and method of making same
US4914813A (en) * 1988-11-25 1990-04-10 Innovative Packing Technology Refurbishing of prior used laminated ceramic packages
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US5192716A (en) * 1989-01-25 1993-03-09 Polylithics, Inc. Method of making a extended integration semiconductor structure
US4940181A (en) * 1989-04-06 1990-07-10 Motorola, Inc. Pad grid array for receiving a solder bumped chip carrier
US5121299A (en) * 1989-12-29 1992-06-09 International Business Machines Corporation Multi-level circuit structure utilizing conductive cores having conductive protrusions and cavities therein
JPH045844A (ja) * 1990-04-23 1992-01-09 Nippon Mektron Ltd Ic搭載用多層回路基板及びその製造法
KR930011462B1 (ko) * 1990-11-23 1993-12-08 현대전자산업 주식회사 다층배선의 단차를 완화시키는 방법
US5172303A (en) * 1990-11-23 1992-12-15 Motorola, Inc. Electronic component assembly
US5166097A (en) * 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
US5146674A (en) * 1991-07-01 1992-09-15 International Business Machines Corporation Manufacturing process of a high density substrate design
US5279711A (en) * 1991-07-01 1994-01-18 International Business Machines Corporation Chip attach and sealing method
JP2765673B2 (ja) * 1992-06-04 1998-06-18 インターナショナル・ビジネス・マシーンズ・コーポレイション メタライゼーション層及びその形成方法
US5264729A (en) * 1992-07-29 1993-11-23 Lsi Logic Corporation Semiconductor package having programmable interconnect
US5512710A (en) * 1992-08-21 1996-04-30 Cts Corporation Multilayer package with second layer via test connections
US5386627A (en) * 1992-09-29 1995-02-07 International Business Machines Corporation Method of fabricating a multi-layer integrated circuit chip interposer
US5406701A (en) * 1992-10-02 1995-04-18 Irvine Sensors Corporation Fabrication of dense parallel solder bump connections
US5329423A (en) * 1993-04-13 1994-07-12 Scholz Kenneth D Compressive bump-and-socket interconnection scheme for integrated circuits
JPH08510358A (ja) * 1993-04-14 1996-10-29 アムコール・エレクトロニクス・インク 集積回路チップと基板との相互接続
US5462636A (en) * 1993-12-28 1995-10-31 International Business Machines Corporation Method for chemically scribing wafers
US5861663A (en) * 1994-12-27 1999-01-19 International Business Machines Corporation Column grid array or ball grid array pad on via
WO2004100260A1 (ja) * 1995-05-19 2004-11-18 Kouta Noda 高密度多層プリント配線版、マルチチップキャリア及び半導体パッケージ
JPH0945805A (ja) * 1995-07-31 1997-02-14 Fujitsu Ltd 配線基板、半導体装置及び半導体装置を配線基板から取り外す方法並びに半導体装置の製造方法
US6405431B1 (en) 1996-06-27 2002-06-18 Samsung Electro-Mechanics Co., Ltd. Method for manufacturing build-up multi-layer printed circuit board by using yag laser
DE19632200C2 (de) * 1996-08-09 2002-09-05 Bosch Gmbh Robert Multichipmodul
AU5238898A (en) * 1996-11-08 1998-05-29 W.L. Gore & Associates, Inc. Method for reducing via inductance in an electronic assembly and device
US5795818A (en) * 1996-12-06 1998-08-18 Amkor Technology, Inc. Integrated circuit chip to substrate interconnection and method
US5973391A (en) * 1997-12-11 1999-10-26 Read-Rite Corporation Interposer with embedded circuitry and method for using the same to package microelectronic units
US6833613B1 (en) * 1997-12-18 2004-12-21 Micron Technology, Inc. Stacked semiconductor package having laser machined contacts
JPH11187542A (ja) * 1997-12-18 1999-07-09 Furukawa Electric Co Ltd:The バスバー配線板の製造方法
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6023029A (en) * 1998-03-19 2000-02-08 International Business Machines Corporation Use of blind vias for soldered interconnections between substrates and printed wiring boards
US6242935B1 (en) * 1999-01-21 2001-06-05 Micron Technology, Inc. Interconnect for testing semiconductor components and method of fabrication
US6319829B1 (en) 1999-08-18 2001-11-20 International Business Machines Corporation Enhanced interconnection to ceramic substrates
US6392301B1 (en) * 1999-10-22 2002-05-21 Intel Corporation Chip package and method
US6392428B1 (en) * 1999-11-16 2002-05-21 Eaglestone Partners I, Llc Wafer level interposer
JP2001168125A (ja) * 1999-12-03 2001-06-22 Nec Corp 半導体装置
US6627998B1 (en) 2000-07-27 2003-09-30 International Business Machines Corporation Wafer scale thin film package
US6812048B1 (en) 2000-07-31 2004-11-02 Eaglestone Partners I, Llc Method for manufacturing a wafer-interposer assembly
US6537831B1 (en) * 2000-07-31 2003-03-25 Eaglestone Partners I, Llc Method for selecting components for a matched set using a multi wafer interposer
US6531763B1 (en) 2000-08-15 2003-03-11 Micron Technology, Inc. Interposers having encapsulant fill control features
US6815712B1 (en) 2000-10-02 2004-11-09 Eaglestone Partners I, Llc Method for selecting components for a matched set from a wafer-interposer assembly
US6686657B1 (en) 2000-11-07 2004-02-03 Eaglestone Partners I, Llc Interposer for improved handling of semiconductor wafers and method of use of same
US20020078401A1 (en) * 2000-12-15 2002-06-20 Fry Michael Andrew Test coverage analysis system
US6524885B2 (en) * 2000-12-15 2003-02-25 Eaglestone Partners I, Llc Method, apparatus and system for building an interposer onto a semiconductor wafer using laser techniques
US20020076854A1 (en) * 2000-12-15 2002-06-20 Pierce John L. System, method and apparatus for constructing a semiconductor wafer-interposer using B-Stage laminates
US6529022B2 (en) 2000-12-15 2003-03-04 Eaglestone Pareners I, Llc Wafer testing interposer for a conventional package
US6673653B2 (en) * 2001-02-23 2004-01-06 Eaglestone Partners I, Llc Wafer-interposer using a ceramic substrate
US6787916B2 (en) * 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
DE10205544A1 (de) * 2002-02-11 2003-05-15 Infineon Technologies Ag Verfahren zur Herstellung eines elektronischen Halbleiterbauteils mit dreidimensionaler Umverdrahtungsstruktur sowie elektronisches Halbleiterbauteil
US6960837B2 (en) * 2002-02-26 2005-11-01 International Business Machines Corporation Method of connecting core I/O pins to backside chip I/O pads
US6839965B2 (en) * 2003-02-06 2005-01-11 R-Tec Corporation Method of manufacturing a resistor connector
TW566796U (en) * 2003-03-12 2003-12-11 Unimicron Technology Corp Standard printed circuit board core
JP4387269B2 (ja) * 2004-08-23 2009-12-16 株式会社テクニスコ ビアが形成されたガラス基板及びビアの形成方法
US7289336B2 (en) * 2004-10-28 2007-10-30 General Electric Company Electronic packaging and method of making the same
US7781741B2 (en) * 2005-10-27 2010-08-24 General Electric Company Methods and systems for controlling data acquisition system noise
JP5117698B2 (ja) * 2006-09-27 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US8212331B1 (en) * 2006-10-02 2012-07-03 Newport Fab, Llc Method for fabricating a backside through-wafer via in a processed wafer and related structure
US8080446B2 (en) * 2009-05-27 2011-12-20 Stats Chippac Ltd. Integrated circuit packaging system with interposer interconnections and method of manufacture thereof
JP2012069739A (ja) * 2010-09-24 2012-04-05 Shinko Electric Ind Co Ltd 配線基板の製造方法
US8780576B2 (en) 2011-09-14 2014-07-15 Invensas Corporation Low CTE interposer
US9093506B2 (en) * 2012-05-08 2015-07-28 Skyworks Solutions, Inc. Process for fabricating gallium arsenide devices with copper contact layer
JP6424610B2 (ja) * 2014-04-23 2018-11-21 ソニー株式会社 半導体装置、および製造方法
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS496460A (ja) * 1972-05-10 1974-01-21
JPS505377A (ja) * 1973-05-29 1975-01-21
JPS5178176A (ja) * 1974-12-20 1976-07-07 Ibm

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE377229B (ja) * 1971-08-27 1975-06-23 Ibm
GB1485569A (en) * 1974-09-10 1977-09-14 Siemens Ag Multi-layer wired substrates for multi-chip circuits
US4202007A (en) * 1978-06-23 1980-05-06 International Business Machines Corporation Multi-layer dielectric planar structure having an internal conductor pattern characterized with opposite terminations disposed at a common edge surface of the layers
US4277321A (en) * 1979-04-23 1981-07-07 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
JPS5670655A (en) * 1979-11-15 1981-06-12 Matsushita Electric Ind Co Ltd Manufacture of electronic circuit mounting device
US4349862A (en) * 1980-08-11 1982-09-14 International Business Machines Corporation Capacitive chip carrier and multilayer ceramic capacitors
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
JPS5987893A (ja) * 1982-11-12 1984-05-21 株式会社日立製作所 配線基板とその製造方法およびそれを用いた半導体装置
GB2136203B (en) * 1983-03-02 1986-10-15 Standard Telephones Cables Ltd Through-wafer integrated circuit connections
US4439270A (en) * 1983-08-08 1984-03-27 International Business Machines Corporation Process for the controlled etching of tapered vias in borosilicate glass dielectrics
US4495220A (en) * 1983-10-07 1985-01-22 Trw Inc. Polyimide inter-metal dielectric process
US4517050A (en) * 1983-12-05 1985-05-14 E. I. Du Pont De Nemours And Company Process for forming conductive through-holes through a dielectric layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS496460A (ja) * 1972-05-10 1974-01-21
JPS505377A (ja) * 1973-05-29 1975-01-21
JPS5178176A (ja) * 1974-12-20 1976-07-07 Ibm

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998004000A1 (en) * 1996-07-22 1998-01-29 Honda Giken Kogyo Kabushiki Kaisha Plug-in type electronic control unit, connecting structure between wiring board and plug member, connecting unit between electronic parts and wiring board, and electronic parts mounting method
US6720500B1 (en) * 1996-07-22 2004-04-13 Honda Giken Kogyo Kabushiki Kaisha Plug-in type electronic control unit, structure of connection of wiring board with plug member, unit of connection of electronic part with wiring board, and process for mounting electronic part

Also Published As

Publication number Publication date
DE3578614D1 (de) 1990-08-16
US4617730A (en) 1986-10-21
EP0171662B1 (en) 1990-07-11
JPH0517708B2 (ja) 1993-03-09
EP0171662A3 (en) 1987-01-14
EP0171662A2 (en) 1986-02-19

Similar Documents

Publication Publication Date Title
JPS6149443A (ja) チツプ介在体の製造方法
US4221047A (en) Multilayered glass-ceramic substrate for mounting of semiconductor device
US4446477A (en) Multichip thin film module
KR100271838B1 (ko) 평면재분배구조및그의제조방법
US5866441A (en) Inverted chip bonded module with high packaging efficiency
US5637536A (en) Method for interconnecting semiconductor chips in three dimensions, and component resulting therefrom
US3968193A (en) Firing process for forming a multilayer glass-metal module
JPH04313247A (ja) 同一平面の接触バンプを有する相互接続装置及びその製造方法
JPS5839048A (ja) フレキシブル領域接着テ−プ
WO1990014751A1 (en) Interconnect device and method of manufacture thereof
WO1996019829A9 (en) Device for superheating steam
US6614110B1 (en) Module with bumps for connection and support
JPH0364925A (ja) 集積回路チツプ実装構造及びその形成方法
US5358826A (en) Method of fabricating metallized chip carries from wafer-shaped substrates
JPH0226392B2 (ja)
JPH0213949B2 (ja)
JPH0214796B2 (ja)
US6841877B2 (en) Semiconductor device, metal laminated plate for fabricating circuit on semiconductor, and method of fabricating circuit
JPH04282843A (ja) 相互接続デバイスとその製造方法
US5049974A (en) Interconnect device and method of manufacture thereof
WO1999004424A1 (en) Semiconductor device, mounting structure thereof and method of fabrication thereof
JPH04263462A (ja) 半導体装置及びその製造方法
US5871868A (en) Apparatus and method for machining conductive structures on substrates
US5874199A (en) Method of forming oversized solder bumps
JPH03269977A (ja) 電気的接続部材の製造方法