JPH1145887A - エレクトロマイグレーション耐性が向上し欠陥影響度が少ないサブクォーターミクロンの銅相互接続 - Google Patents

エレクトロマイグレーション耐性が向上し欠陥影響度が少ないサブクォーターミクロンの銅相互接続

Info

Publication number
JPH1145887A
JPH1145887A JP10143914A JP14391498A JPH1145887A JP H1145887 A JPH1145887 A JP H1145887A JP 10143914 A JP10143914 A JP 10143914A JP 14391498 A JP14391498 A JP 14391498A JP H1145887 A JPH1145887 A JP H1145887A
Authority
JP
Japan
Prior art keywords
copper
layer
pattern
depositing
intermetallic compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10143914A
Other languages
English (en)
Other versions
JP3057054B2 (ja
Inventor
Hazara S Rathore
ハザラ・エス・ラソーア
Hormazdyar M Dalal
ホルマズドヤール・エム・ダラール
S Mclaughlin Paul
ポール・エス・マクラフリン
Du B Nguyen
デュ・ビー・グエン
Richard G Smith
リチャード・ジー・スミス
Alexander J Swinton
アレグザンダー・ジェイ・スウィントン
Richard A Wachnik
リチャード・エイ・ワクニク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/866,777 external-priority patent/US6130161A/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH1145887A publication Critical patent/JPH1145887A/ja
Application granted granted Critical
Publication of JP3057054B2 publication Critical patent/JP3057054B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 向上したエレクトロマイグレーション耐性と
腐食耐性とを備えるサブハーフミクロンの銅相互接続を
設ける方法。 【解決手段】 この方法は、シード層が化学的気相付着
または物理的気相付着によって約800オングストロー
ム未満の層に付着された、電気めっきされた銅を使用し
た二重ダマシンを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本特許出願は、1997年5
月30日出願の「COPPER INTERCONNE
CTIONS WITH ENHANCED ELEC
TROMIGRATION RESISTANCE A
ND REDUCED DEFECT SENSITI
VITY AND METHOD OF FORMIN
G SAME」という名称の米国特許出願第08/86
6777号の一部継続出願である。
【0002】本発明は、半導体製造の分野に関し、具体
的には腐食および欠陥の影響度が低減され、それによっ
て信頼性が向上したサブミクロン寸法の銅ベースの相互
接続の設計に関する。本発明は設計した構造体を形成す
る方法の提供にも関する。
【0003】
【従来の技術】素子の幾何形状が超大規模集積回路用に
小型化されるに伴い、最小のピッチと高い導電率を持つ
相互接続配線と、誘電率が低いと同時に従来以上に確か
な信頼性を必要とするパッシベーション材料の需要がま
すます増大している。特に、サブクォーターミクロンの
線幅の世界では、高い導電率と高いエレクトロマイグレ
ーション耐性が最重要要素である。
【0004】1つの手法は、導電率が高くエレクトロマ
イグレーション耐性が高いという理由から銅金属配線を
クロス・キャパシタンスの低いポリイミド・パッシベー
ションと共に使用することであった。この手法を使用す
るプロセスは、ルーサー(Luther)等の「VLS
I Multilevel Interconnect
ion Conference(VMIC)」(pp.
15−21,1993)によって開示されている。二重
ダマシン法を使用して銅相互接続線と中間層バイア・ス
タッドを同時に形成するプロセスの改良が、本出願の共
通出願人の米国特許第5434451号でダラール(D
alal)等によって教示されている。ダマシン法で
は、細い溝または細い穴あるいはその両方の組合せの充
填が必要である。当技術分野では、そのような細い穴や
溝を充填するためにスパッタリングや蒸着などの物理的
気相付着(PVD)法を使用するのは適切でないことが
よく知られている。これは、充填される金属線またはス
タッドのきわめて先細の断面が形成されるためである。
やはり本出願と共通出願人のジョシ(Joshi)等の
米国特許第5300813号では、PVD法を使用して
高導電率金属を付着させた後、タングステン・キャップ
層の化学的気相付着(CVD)によって先細の断面の上
部を充填する方法が教示されている。このキャッピング
・プロセスの結果、先細の断面のために銅製導線の断面
積が大幅に縮小される。また、キャッピング金属が導線
金属に沿って付着されるため、完成品において導線エッ
ジに沿って導線金属のスリットが露出される。さらに、
このキャッピング・プロセスの化学機械研磨ステップ中
に、研磨によって除去された硬い金属粒子が金属線をす
り減らす傾向がある。したがって、銅の付着にはCVD
や電気めっきなどのコンフォーマルな付着方法が必要で
ある。
【0005】しかし、CVD銅は、必要なきわめて複雑
な前駆体の貯蔵寿命が限られているという欠点があるこ
とがわかっている。CVD銅のさらに重要な問題は銅前
駆体による製造ラインの汚染であり、それによって半導
体素子がだめになることである。
【0006】電気めっきによる銅付着は、数十年にわた
ってプリント回路基板(PCB)に使用されてきた。電
気めっきは低コストで、付着温度が低く、狭い開口部を
コンフォーマルに被覆することができるため、銅相互接
続線における好ましい付着方法である。銅の電気めっき
には基板上に銅シード層が必要であることを理解された
い。シード層のために銅を付着させるのにPVD方法が
常に使用されてきた。しかし、PVD付着させた銅のエ
レクトロマイグレーション耐性は電気めっきされた銅の
10分の1であり、CVD銅のエレクトロマイグレーシ
ョン耐性の3分の1であることがわかっている。銅シー
ド層は相互接続線の断面積の20%まで形成されること
があるため、このシード層は銅相互接続線のエレクトロ
マイグレーション特性をひどく阻害する。銅のエレクト
ロマイグレーション耐性は通常の設計の導線における摩
耗に耐えるだけの十分な高さであるが、PVDシード層
/電気めっき銅導線には欠陥によるエレクトロマイグレ
ーション障害が見られた。銅の高い導電率のために、
2、3百オングストロームまで薄くした場合、導線の幅
や厚さなどの線欠陥が電気スクリーニング検査で発見さ
れずに合格してしまうことがある。実際の使用中にはこ
れらの領域における電流密度はかなり高く、それによっ
てエレクトロマイグレーションによる初期現場障害が生
じることがわかる。
【0007】相互接続線の寸法がサブクォーターミクロ
ンである場合、シード層にPVD法を使用することによ
る別の重大な問題が生じる。この場合、PVD技法によ
って付着させた薄いシード層であっても、開口部が前述
のように狭くなる。この結果、中空の殻状の線ができ
る。
【0008】CVD銅付着技法には、たとえば、銅前駆
体による製造ラインの汚染などの問題があり、それによ
って半導体素子がだめになる。CVD付着させた銅の厚
さが厚くなればそれだけ、線の汚染の傾向が大きくな
る。
【0009】高温応用分野用に、または機械強度を高め
るために、銅と様々な元素との同時付着が、トーマス
(Thomas)による米国特許第5414301号、
シャピロ(Shapiro)等による米国特許第400
7039号、アクツ等の米国特許第4872048号、
およびウッドフォード(Woodford)とブリック
ネル(Bricknell)による米国特許第4406
858号で教示されている。しかし、銅を他の元素と同
時付着させると、通常は電気抵抗率が高くなり、それに
よって高パフォーマンス・システムで銅を使用するとい
う目的そのものが不可能になる。
【0010】銅金属配線における他の信頼性問題は腐食
である。これについて、以下に図1および図2を参照し
ながら説明する。図1は前述の従来技術の相互接続方式
の構造体であり、各層が二重ダマシン法によって画定さ
れた2層の金属相互接続が示されている。図2は相互接
続の断面の拡大図であり、1つの層上の銅相互接続線9
がバイア・スタッド11を介して下層の金属相互接続線
102と接触していることが示されている。二重ダマシ
ン法では、バイア・スタッド11と導線102は互いに
一体となった部分であることを理解されたい。この銅相
互接続は接着層5と、任意選択の障壁層6と、PVD銅
シード層8と、バルク銅層9および11と、ポリイミド
絶縁層3の上の無機絶縁層4とを含む。
【0011】導線の腐食は一般に層間絶縁にポリイミド
を使用することに関連して起こる。これは、層間絶縁に
ポリイミドを使用する場合、通常はその塗布によって無
機絶縁体4の薄い層が塗布されることになるためであ
る。この無機絶縁体の薄い層は、チャウ(Chow)等
の米国特許第4789648号で教示されているように
エッチ・ストップとして機能するように、またはジョシ
(Joshi)等の米国特許第5403779号で教示
されているように化学機械研磨中のポリイミド屑を少な
くするように付加される(両特許は本出願人に譲渡され
ている)。この無機絶縁層4の有害な効果は、ポリイミ
ド膜内の残留水分が逃げるのを阻害することである。し
たがって、ポリイミド膜内の蒸気圧が大きくなり、銅内
に逃げる。その結果、酸化銅と水酸化物が形成される。
時間と温度に伴って、この酸化物と水酸化物が銅導体内
に最終的にボイド13(図1)を形成する。腐食による
これらのボイド13は、2つの理由によって銅導体の上
表面から始まると考えられる。1つは、線形層5および
6は導線の底部と側面を被っているが、上面は被ってい
ないことである。第2には、無機絶縁層4と導線の側壁
上の線5および6との間の接合部が、プロセス温度偏移
中に分離し、それによって水分が銅と接触する経路がで
きることである。本出願人に譲渡されたジョシ(Jos
hi)等の米国特許第5426330号では、銅導体の
上にタングステンのキャップを設けて銅の腐食を防ぐ方
法を教示している。前述のように、このキャップ法によ
って研磨中に望ましくない屑が形成され、それによって
金属線が磨耗する。
【0012】したがって、さまざまな努力が繰り返され
たにもかかわらず、従来の技術の様々な方式では、欠陥
の影響を受けるエレクトロマイグレーション障害と腐食
による製造上の問題が残る。信頼性が向上し、欠陥の影
響度が少ない銅集積回路パターンを製作するより良い方
法を開発する必要がある。
【0013】
【発明が解決しようとする課題】したがって、従来技術
の問題と欠点を念頭に置き、本発明の目的は、向上した
プロセス歩留まりと信頼性を有するサブハーフミクロン
の寸法の高パフォーマンス相互接続回路を製作する方法
を提供することである。
【0014】本発明の他の目的は、低誘電率のポリイミ
ド・パッシベーションを備えた高導電率銅ベースの金属
配線を提供することである。
【0015】本発明の他の目的は、エレクトロマイグレ
ーション耐性を向上させることによって、銅相互接続金
属配線の欠陥影響度を低くすることである。
【0016】本発明の他の目的は、相互接続線のエレク
トロマイグレーション耐性を向上させるようにPVD銅
シード層の厚さを薄くした電気めっき銅相互接続線を提
供することである。
【0017】本発明の他の目的は、金属線の保全性に影
響を与えない、導線に金属キャップを被せる方法を提供
することである。
【0018】
【課題を解決するための手段】上記の目的および当業者
ならわかるその他の目的は、基板内に、エレクトロマイ
グレーション耐性および腐食耐性を向上させたサブハー
フミクロンの銅相互接続を設ける方法に関する本発明に
よって達成される。この方法は、電気めっき銅を使用し
た二重ダマシン法を含むことができ、その場合、厚さを
薄くしたPVD層を使用するか、またはPVD層を、P
VD付着銅の約3倍のエレクトロマイグレーション耐性
を有するCVD銅シード層のコンフォーマルな被覆に置
き換える。本発明では、シード層は金属間層に変えるこ
ともできる。ハフニウム、ランタン、ジルコニウム、ス
ズ、チタンなどの銅金属間物質の層を設けて、エレクト
ロマイグレーション耐性を向上させ、欠陥影響度を低減
する。基板上に形成された銅線の上部の表面を完全に被
うキャップを形成して腐食耐性を向上させる方法も提供
する。銅格子間位置に炭素原子を組み込むことによって
エレクトロマイグレーション耐性と腐食耐性を向上させ
る構造および方法についても説明する。
【0019】一態様では、本発明は誘電絶縁によって互
いに分離された銅線の多層相互接続を形成して基板内の
電気機構との接触部を作る方法を含む。この方法は、ま
ず、画定されたパターンで銅線を収容する誘電絶縁層を
有する基板を設けるステップと、任意選択によりパター
ンで金属線を付着させるステップとを含む。その後で、
パターンで厚さを薄くしたPVD銅の層、CVD銅の
層、または銅との金属間化合物を形成することができる
元素の層を付着させた後、1層または複数層の銅を付着
させる。金属間化合物形成元素を付着させる場合は、そ
の後で基板を加熱して金属間化合物形成元素と銅層を反
応させ、銅層内に金属間化合物の層を形成する。金属間
化合物形成元素は、ハフニウム、ランタン、チタン、ス
ズ、およびジルコニウムから成るグループから選択する
ことが好ましい。金属間化合物形成元素層を銅層の前に
付着させることも、銅層を金属間化合物形成元素層の前
に付着させることもできる。また、金属間化合物形成元
素層を銅層の前に付着させ、さらに銅層の後に金属間化
合物形成元素層を付着させることもできる。
【0020】金属層、金属間化合物形成元素の層、およ
び銅の層は、スパッタリング、蒸着、およびCVDから
成るグループから選択された共通の付着技法または別個
の付着技法で付着させることができる。金属線、金属間
化合物形成元素の層、および銅の層は単一のポンプダウ
ンでスパッタリングによってその場で(in−sit
u)付着させることが好ましく、その際、スパッタリン
グは反応性スパッタリング、コリメート・スパッタリン
グ、マグネトロン・スパッタリング、低圧スパッタリン
グ、ECRスパッタリング、イオン・ビーム・スパッタ
リング、およびこれらの任意の組合せとすることができ
る。
【0021】より好ましい方法では、本発明は、サブミ
クロンのピッチで、低誘電率絶縁によって互いに分離さ
れた、銅線から成る信頼性の高い多層相互接続を形成し
て基板内の電気機構との接点を作る方法に関する。この
方法は、最初に、電気機構を有する基板上に1対の絶縁
層を付着させるステップと、絶縁層のうちの少なくとも
一方にバイア・スタッド・パターンをフォトリソグラフ
ィによって画定するステップと、1対の絶縁層を部分的
にエッチングするステップと、絶縁層のうちの少なくと
も一方に相互接続線パターンをフォトリソグラフィによ
って画定するステップと、電気機構が露出するまで絶縁
層をエッチングするステップと、それによって1対の絶
縁層内に溝と穴を形成するステップとを含む。その後
で、溝と穴の中に線形金属配線を付着させる。銅と金属
間化合物を形成することができる元素の層と、1つまた
は複数の銅層を付着させ、穴と溝を充填する。銅を研磨
して溝の外にある余分な金属を除去し、基板を加熱して
金属間化合物形成元素と銅を反応させて銅との金属間化
合物の層を形成する。
【0022】銅層のうちの1層を銅と炭素ガスとの反応
性スパッタリングによって付着させて、付着銅の格子内
に炭素原子を組み込むことができる。金属間化合物形成
元素の厚さは約100〜600オングストロームである
ことが好ましい。金属間化合物層は、穴および溝内の銅
の下、穴および溝内の銅の中、または穴および溝内の銅
の上に形成することができる。
【0023】関連態様では、本発明は、電気機構を有す
る基板上に付着させた1対の絶縁層を含む銅線の相互接
続を有し、絶縁層がエッチングされたバイア・スタッド
・パターンとエッチングされた相互接続線パターンとを
有して1対の絶縁層内に穴と溝が形成された基板を提供
する。溝と穴の内側は金属層で被われ、穴と溝は銅で充
填され、銅の部分に銅金属間化合物の領域が含まれてい
る。
【0024】他の態様では、本発明は溝を有する基板上
に、向上したエレクトロマイグレーションおよび腐食耐
性を有する銅相互接続を設ける方法であって、真空手段
内で基板を加熱するステップと、真空中に気体の形で炭
素物質を導入するステップと、基板の溝内に銅金属を付
着させると同時に銅格子中に格子間原子を組み込んで溝
内に銅線を形成するステップとを含む方法に関する。付
着中に基板を100〜400℃に保持し、炭素物質は化
学式CxhyまたはCxHxを有し、酸素、窒素、また
は硫黄を含まない炭化水素であることが好ましい。
【0025】関連態様では、本発明は、電気機構を有す
る基板上に付着させた1対の絶縁層を含む銅線の相互接
続を有する基板であって、絶縁層は穴と溝を形成するエ
ッチングされたバイア・スタッド・パターンとエッチン
グされた相互接続線パターンを含み、溝と穴の内側を金
属層で被い、穴と溝が銅で充填され、銅は約0.1〜1
5ppmの炭素を含む基板を提供する。
【0026】他の態様では、本発明は、周囲の絶縁体と
同一平面の面を有する基板相互接続上の保護キャップを
設ける方法に関する。この方法は、その上にある絶縁層
を有する基板を設けるステップと、エッチングされたバ
イア・スタッド・パターンととエッチングされた相互接
続線パターンとで絶縁層内に穴と溝を形成するステップ
と、穴と溝を絶縁層の上面まで銅で充填して基板相互接
続を形成するステップとから成る。次に、銅を研磨して
その表面を周囲の絶縁層の表面より下に凹ませる。その
後で、凹ませた銅の上のキャップ用の材料の層を周囲の
絶縁層面より上の高さまで付着させる。次にこの基板を
研磨して、基板相互接続の外部の領域からキャップ材料
を除去し、周囲の絶縁層面と同一平面のキャップ面を形
成する。凹みの厚さは約100〜400オングストロー
ムであることが好ましく、キャップの材料は選択的に付
着させ、タングステン、タングステン・シリコン、タン
グステン窒素、ハフニウム、ジルコニウム、タンタル、
窒化タンタル、チタン、スズ、ランタン、ゲルマニウ
ム、炭素、クロム、クロム酸化クロム、プラチナ、およ
びそれらの組合せから成るグループから選択することが
好ましい。
【0027】他の態様では、本発明は、基板内の電気機
構との接点を形成するために誘電体絶縁によって互いに
分離された銅線から成る多層相互接続を形成する方法で
あって、(a)画定されたパターン内に銅線を収容する
ように誘電絶縁層を有する基板を設けるステップと、
(b)任意選択により、パターン内に金属線を付着させ
るステップと、(c)その後でパターン内に、約800
オングストローム未満の厚さを有する銅の化学的気相付
着層または銅の物理的気相付着層を付着させるステップ
と、(d)化学または物理的気相付着銅層の上に、異な
るプロセスによって銅の層を付着させてパターンをほぼ
充填するステップとを含む方法を提供する。
【0028】化学的気相付着銅層の厚さは約50〜20
00オングストロームであることが好ましく、約100
〜700オングストロームであることがより好ましい。
物理的気相付着は、銅スパッタリングまたは銅蒸着によ
って行うことができ、物理的気相付着銅層の厚さは約6
00オングストローム未満であることが好ましい。
【0029】化学または物理的気相付着銅層を付着させ
る前に、この方法は、パターン内に銅との金属間化合物
を形成することができる元素の層を付着させるステップ
を含むことができる。パターンをほぼ充填する銅の層を
付着させた後で、本発明は基板を加熱して金属間化合物
形成元素をパターンをほぼ充填する銅の層と反応させて
金属間化合物の層を形成するステップを含むことができ
る。
【0030】
【発明の実施の形態】本発明の好ましい実施形態の説明
では、図面のうちの図3ないし図13を参照するが、図
中では同様の数字は本発明の同様の機構を示す。図面で
は本発明の機構は必ずしも一定の縮尺で図示されている
わけではない。
【0031】図3を参照すると、典型的にはそれぞれ絶
縁層106、103内に形成されたチタンおよび窒化チ
タンの基層(図示せず)を備えたタングステンである、
様々な素子接触スタッド(バイア)101と局所相互接
続(線)102をその上に有するシリコン基板105か
ら成る従来のシリコン半導体構造体の断面が図示されて
いる。薄い絶縁体層を使用することによって、たとえば
ミスアライメントによるバイアと線の間に金属スパイク
が形成されるのを防ぐことは、本出願と同日に出願され
た「SELF−ALIGNED COMPOSITE
INSULATOR WITH SUB−HALF M
ICRON MULTILEVEL HIGH DEN
SITY ELECTRICAL INTERCONN
ECTIONS AND PROCESS THERE
OF」という名称の米国特許出願(整理番号FI9−9
6−137)で開示されている。
【0032】本発明の方法は、サブミクロンのピッチ
で、低誘電率絶縁によって互いに分離された信頼性の高
い銅線の多層相互接続を形成して、基板内の電気機構と
の接点を形成する。基板構造体は、複数の電子素子を有
する半導体か、有機回路キャリヤ、またはセラミック回
路キャリヤとすることができる。局所相互接続102は
従来の技術のダマシン法によって、局所相互接続の上面
を周囲の絶縁体103の表面とほぼ同一平面にし、典型
的にはホウケイ酸またはリンケイ酸ガラスまたはSiO
2を付着させて形成することが好ましい。次に誘電絶縁
層2、3、および4を付着させて高導電率の相互接続を
形成するプロセスを開始する。1対の絶縁層はECR、
スパッタリング、プラズマCVD、CVD、スピンコー
ティング、またはこれらの方法の任意の組み合わせによ
って付着させることができる。たとえば、これらの絶縁
層はポリイミド、窒化シリコン、アルミナ、二酸化シリ
コン、リンケイ酸ガラス、酸化イットリウム、酸化マグ
ネシウム、エアロゲル、またはこれらの材料の任意の組
み合わせで作ることができる。
【0033】この場合、同時係属米国特許出願第08/
841221号で教示されている絶縁体の選択とそれら
の絶縁体を集積回路の製作に組み込む方法を使用するこ
とができ、その出願の開示は参照により本明細書に組み
込まれる。
【0034】次に、たとえばフォトリソグラフィ・プロ
セスによって、絶縁層4の上にバイア・スタッド・パタ
ーンを画定し、その後、適切なエッチング液を使用して
絶縁層4をエッチングし、絶縁層3を部分的にエッチン
グするステップを行う。次に、高導電金属相互接続線の
パターンを、この場合もたとえばフォトリソグラフィ・
プロセスによって画定し、その後で絶縁層3および絶縁
層2の残りをエッチングして溝12と穴13を形成し、
金属線102を露出させる。これらのステップは、当技
術分野で周知の二重ダマシン法と呼ばれる方法の初期プ
ロセス・ステップであり、本出願の出願人に譲渡された
ダラール等の米国特許第5434451号などの公開特
許に記載されており、その開示は参照により本明細書に
組み込まれる。
【0035】次に、選択した線材料および高導電金属を
本発明により付着させ、化学機械法により研磨して余分
な金属を除去し、それによってバイア・スタッドと相互
接続金属線のパターンを同時に形成する。本発明は、プ
ロセス中で絶縁層2、3、および4にバイア・スタッド
と相互接続線の組み合わせのパターンをエッチングして
局所相互接続102の部分を露出させる時点で実施され
る。
【0036】本発明の相互接続を図4から始まる図に図
示する。図4では図3の一部のみが明確に図示されてい
る。図3の構造体を有するウエハをその場で(in−s
itu)スパッタ洗浄した後、好ましくはチタン、タン
タル、窒化タンタル、クロム、タングステン、またはこ
れらの層の任意の組み合わせである接着および接触金属
から成る、典型的には100〜300オングストローム
の厚さの薄い層5を付着させる。その後で、クロム酸化
クロム、タングステン・シリコン、窒化タングステン、
窒化タングステンシリコン、窒化チタン、タンタル、ま
たは窒化タンタルなどの材料から成る、典型的には20
0〜400オングストロームの厚さの任意選択の熱拡散
障壁層6を付着させる。層5および6は本発明では線形
金属配線と呼ぶ。接触金属は、たとえばチタン、タンタ
ル、または窒化タンタルとすることができる。障壁材料
は窒化チタン、酸窒化チタン、タンタル、窒化タンタ
ル、クロム、クロム/酸化クロム、タングステン、窒化
タングステン、タングステン・シリコン、またはこれら
の任意の組み合わせとすることができる。
【0037】線形層は、スパッタリング、蒸着などの共
通の付着技法または別々の付着技法によって付着させる
ことができる。反応性スパッタリング、コリメート・ス
パッタリング、マグネトロン・スパッタリング、低圧ス
パッタリング、ECRスパッタリング、イオン・ビーム
・スパッタリング、またはこれらの任意の組み合わせな
どのスパッタリング技法を使用することが好ましい。よ
り好ましくはこれら上述の、層5および6の付着は、単
一のポンプ・ダウンでコリメート・スパッタリングを使
用し、本発明の出願人に譲渡されたダラールおよびロー
ニー(Lowney)の米国特許第4379832号で
教示されている反応性金属の付着技法を使用して行う。
この特許の開示は参照により本明細書に組み込まれる。
好ましい付着温度は約120〜400℃である。
【0038】この任意選択の層6の後に、本発明の第1
の実施形態では、好ましくは約100〜600オングス
トロームの厚さの、銅と金属間化合物を形成することが
できる元素の薄い層7を付着させる。そのような元素
は、ハフニウム、ランタン、ジルコニア、スズ、および
チタンから成るグループから選択することができる。そ
の後、典型的には600〜2000オングストロームの
厚さの、薄い銅シード層8を付着させる。
【0039】層5〜8は、スパッタリング、蒸着、CV
Dなどの共通または別々の付着技法によって付着させる
ことができる。反応性スパッタリング、コリメート・ス
パッタリング、マグネトロン・スパッタリング、低圧ス
パッタリング、ECRスパッタリング、イオン・ビーム
・スパッタリング、またはそれらの任意の組み合わせな
どのスパッタリング技法を使用することが好ましい。よ
り好ましくは、これらの上述の層5、6および7および
8の付着は、単一のポンプ・ダウンでコリメート・スパ
ッタリングを使用し、本発明の出願人に譲渡されたダラ
ールおよびローニーの米国特許第4379832号で教
示されている反応性金属の付着技法を使用して行う。こ
の特許の開示は参照により本明細書に組み込まれる。好
ましい付着温度は120〜400℃である。銅シード層
にはエレクトロマイグレーション抵抗を高めるために格
子間位置に意図的に炭素を含めることもでき、これにつ
いて以下に詳述する。
【0040】銅シード層8の後に、残りの銅層9を電気
めっきして溝を埋める。あるいは、層8、または8およ
び9をCVD法によって付着させることもできる。次
に、この基板ウエハを化学機械法によって研磨してパタ
ーン描画されていない領域から余分な金属をすべて除去
し、それによって図4に示す平坦化された構造体にす
る。
【0041】金属間化合物形成金属層7を使用する場合
は、次に基板ウエハを窒素などの非反応性雰囲気中で約
250〜450℃の温度に30分ないし2時間加熱す
る。これによって、金属間化合物形成元素層7が銅層と
反応して図5の銅金属間化合物の層10が形成される。
銅金属間化合物層は、銅層8および9のエレクトロマイ
グレーション耐性を向上させる。金属間化合物形成金属
の厚さは、金属間化合物の形成中に銅シード層8(図
4)がすべて使い尽くされるように選択することが好ま
しい。本発明により銅層に形成される金属間化合物は、
ハフニウム・キュプライド(Hf2Cu)、ランタン・
キュプライド(LaCu2)、エータ・ブロンズ(Cu6
Sn5)、チタン・キュプライド(TiCu)、および
ジルコニウム・キュプライド(Zr2Cu)とすること
ができる。
【0042】金属間化合物層は、完全な金属間化合物層
とすることも金属間化合物と組成金属との組合わせの層
とすることもできる。金属間化合物形成元素の選択は、
2つの基準に基づいて行うことができる。第一に、選択
する元素の溶解度は銅にまったく溶けないかまたは2原
子パーセント未満であることが好ましい。低溶解度が重
要なのは、そうでなければ元素が銅内に拡散してその導
電率に影響を及ぼすからである。第二に、元素は銅と安
定した金属間化合物を形成することが好ましい。前掲の
元素のほかに、この2つの基準を満たすその他の元素も
銅金属間化合物形成元素として使用することができる。
【0043】より上層の相互接続の画定と形成のために
上述のプロセス・ステップを繰り返す。最終層の相互接
続を画定した後で1回のみの熱処理を行って金属間化合
物を形成することを選択するか、または各相互接続層の
後で熱処理を繰り返すことを選択することができること
がわかるであろう。
【0044】本発明の他の実施形態では、金属間化合物
形成元素層は、寸法の小さいバイア・スタッドの場合は
図6、寸法の大きいバイア・スタッドの場合は図7に示
すように相互接続の厚さの中央に形成する。この実施形
態では、金属間化合物の領域が銅の縁から離れて内部領
域に向かって形成されるようにして、金属間化合物形成
元素層7を最初の銅層の後に付着させる。図6に、熱処
理後の銅層9の内部のY字形の金属間化合物領域10
(金属間化合物形成元素層7を付着させている)を示
す。図7に示すように複数の金属間化合物領域を使用す
ることもできる。これは、金属間化合物形成元素7の2
つの領域を金属間化合物領域10aおよび10bが示さ
れている場所に付着させた、熱処理後の図である。
【0045】本発明の他の実施形態では、金属間化合物
形成元素を銅線の上にキャップの形で付着させる。図8
に示すように、図4の平坦化された構造体を形成した
後、約100〜400オングストロームの暑さの薄い銅
層を除去してその表面を周囲の絶縁面から凹ませる。除
去は、銅相互接続線またはバイア・スタッドのライト化
学機械研磨、機械研磨、あるいはその両方によって、平
坦化されたキャップ面を形成するものとすることができ
る。
【0046】図9に示すように、次に、好ましくはウエ
ハのその場でのスパッタによる形成後に、前述の方法
(PVD、電気めっき、無電解めっき、CVD、または
それらの任意の組み合わせ)によって金属間化合物形成
元素の薄い層を選択的に付着させる。次に、化学機械研
磨または単に機械研磨によって、図10に示すように相
互接続溝の外部から余分な金属を除去し、層4の表面と
同一水準に、銅線上に金属間化合物形成元素のキャップ
を残す。次のステップは、前述のようにウエハを熱処理
して銅層9の上に金属間化合物形成元素層7を形成す
る。図11に、金属間化合物形成元素層または領域を銅
相互接続層の下部と上部の両方に形成する実施形態を図
示する。
【0047】金属間化合物キャップ層を形成するこの方
法には、線および銅に沿ってキャップ金属を付着させる
方法のように銅の細いスリットを露出させたまま残すの
ではなく、線エッジに沿って銅線を完全に覆うという利
点がある。キャップ層を形成するこの方法について金属
間化合物のキャップを形成する目的で説明したが、この
方法は、そのような金属には限定されず、タングステ
ン、タングステン・シリコン、タングステン窒素、ハフ
ニウム、ジルコニウム、タンタル、窒化タンタル、チタ
ン、スズ、ランタン、ゲルマニウム、炭素、クロム、ク
ロム酸化クロム、白金、またはそれらの任意の組み合わ
せなど、任意の所望の金属、合金、または金属間化合物
を使用することができることを理解されたい。
【0048】したがって、銅金属間化合物層は選択的付
着によって銅線断面の下部、中央、上部、またはそれら
の任意の組み合わせの場所に形成される。本発明は、こ
れらの領域の一部または全部にある銅金属間化合物層に
その場で形成して銅相互接続線のエレクトロマイグレー
ション耐性を向上させる方法を提供する。
【0049】前述のように、付着させた銅格子内に炭素
原子を組み込むために、真空ツール内で気体の形の炭素
材料の意図的抽気を使用して銅シード層を形成する。基
板は約100〜400℃の温度に保持することが好まし
い。この炭素材料は、CxyまたはCxx炭化水素酸素
基に属する炭素材料など、酸素、窒素、または硫黄を含
まない炭素である。炭素材料は不活性キャリヤ・ガスを
使用して濃縮された形または希釈された形で真空ツール
内に導入することができる。真空ツールはスパッタリン
グ・ツールまたは蒸着ツールであることが好ましく、炭
素材料の分圧は約10-4〜10-7トルであることが好ま
しい。
【0050】このような付着プロセスのより好ましいパ
ラメータは、最初に基板を10-8トルの圧力までポンプ
ダウンし、自動圧力制御を使用してアセチレン・ガスを
10 -5トルの圧力で抽気し、その後でアルゴン・ガスを
4inトルの圧力で導入し、基板の溝内に銅を付着させ
ることである。本発明のこの態様によって、銅格子内に
0.1ppm〜15ppmの溶解炭素を有する銅の相互
接続が形成される。
【0051】銅内にこのような炭素を組み込むことによ
って、電気めっきされた銅におけるエレクトロマイグレ
ーション耐性が強化され、CVD銅ではその程度が少な
いことがことがわかった。理論によって縛られることは
望まないが、強化されたエレクトロマイグレーション耐
性は格子間位置に炭素原子を組み込んだことによると考
えられる。このような格子間炭素は、銅の電気特性には
認め得るほどの影響を与えないが、化学特性と機械特性
には大きな影響を与える。
【0052】本明細書に記載の手引きと例示があれば、
当業者なら本発明のプロセスおよび構造の他の実施形態
が思いつくことは明らかであろう。たとえば、銅シード
層8は次のプロセス・ステップにおける銅の電気めっき
のために付着させることができることがわかるであろ
う。層9にCVD銅を使用することを選択した場合、シ
ード層8は不要である。また、絶縁層の対は有機/無
機、有機/有機、または無機/無機とすることができ
る。
【0053】また、ダマシン法による銅相互接続の形成
の際に化学的気相付着を使用して銅シード層を付着させ
ると、従来の技術で使用されている典型的な1100〜
2000オングストロームの厚さでの物理的気相付着
(たとえばスパッタリングや蒸着など)技法の使用と比
較して、これまで知られていなかった利点が得られるこ
ともわかった。付着させたCVD銅シード層の厚さは約
50〜2000オングストロームであり、約100〜7
00オングストロームの範囲であることが好ましい。あ
るいは、PVD銅シード層は、約800オングストロー
ム未満、好ましくは約600オングストローム未満の厚
さで付着させた場合、より厚い従来の技術のPVD銅シ
ード層に優る利点が得られる。これらの利点には、より
高いエレクトロマイグレーション耐性が含まれる。CV
D銅シード層を使用した場合、または800オングスト
ローム未満の厚さのPVD銅シード層を使用した場合、
本発明により銅金属間化合物層なしで溝を埋める銅層を
銅シード層上に直接付着させることができる。銅層は、
シード層を付着させるために使用するプロセスとは異な
るプロセスによって付着させる必要がある。
【0054】本発明のこの態様の相互接続を図12およ
び図13に示す。図12(図3の一部が図示されてい
る)に示すように、図3の構造を持つウエハをその場で
・スパッタ洗浄した後、前述と同様の方式で線形の層5
および6を付着させる。この場合も熱拡散層6は任意選
択である。しかし、銅金属間化合物層7を付着させる代
わりに、線形層6上に直接シード層8を付着させるか、
または層6がない場合には線形層5上に直接付着させる
ことができる。CVD技法を使用した場合、シード層の
厚さ8は約300〜600オングストロームであること
がより好ましい。PVD技法を使用した場合、銅シード
層の厚さは約600オングストローム未満であることが
好ましく、約200〜500オングストロームであるこ
とがより好ましい。銅シード層8の後に、銅の残りの層
9を電気めっきして溝を完全に埋める。次に、基板ウエ
ハを化学機械法によって研磨してパターン描画されてい
ない領域から余分な金属をすべて除去し、それによって
図13に示す構造が形成される。このような構造は、エ
レクトロマイグレーション耐性が向上し、欠陥影響度が
低減されたサブクォーターミクロンの銅相互接続を提供
することができる。
【0055】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0056】(1)基板内の電気機構との接点を形成す
るために誘電絶縁によって互いに分離された銅線の多層
相互接続を形成する方法であって、(a)画定されたパ
ターン内に銅線を収容する誘電絶縁層を有する基板を設
けるステップと、(b)前記パターン内に金属線を付着
させるステップと、(c)化学的気相付着銅層を前記パ
ターン内に付着させるステップと、(d)化学的気相付
着銅層の上に異なるプロセスによって銅の層を付着させ
て前記パターンをほぼ充填するステップとを含む方法。 (2)化学的気相付着銅層が約50ないし2000オン
グストロームの厚さを有する、上記(1)に記載の方
法。 (3)化学的気相付着銅層が約100ないし700オン
グストロームの厚さを有する、上記(1)に記載の方
法。 (4)前記化学的気相付着銅層を付着させるステップの
前に、(i)前記パターン内に銅との金属間化合物を形
成することができる元素の層を付着させ、さらに前記パ
ターンを充填する銅の層を付着させるステップと、(i
i)基板を加熱して金属間化合物形成元素を前記パター
ンを充填する前記銅層と反応させて金属間化合物の層を
形成するステップとをさらに含む、上記(1)に記載の
方法。 (5)金属間化合物形成元素が、ハフニウム、ランタ
ン、チタン、スズ、およびジルコニウムから成るグルー
プから選択される、上記(4)に記載の方法。 (6)基板内の電気機構との接点を形成するために誘電
絶縁によって互いに分離された銅線の多層相互接続を形
成する方法であって、(a)画定されたパターン内に銅
線を収容する誘電絶縁層を有する基板を設けるステップ
と、(b)任意選択により前記パターン内に金属線を付
着させるステップと、(c)約800オングストローム
未満の厚さを有する銅の物理的気相付着層を前記パター
ンで付着させるステップと、(d)物理的気相付着銅層
の上に異なるプロセスによって銅の層を付着させて前記
パターンをほぼ充填するステップとを含む方法。 (7)物理的気相付着がスパッタリングによる、上記
(6)に記載の方法。 (8)物理的気相付着が蒸着による、上記(6)に記載
の方法。 (9)物理的気相付着銅層が約600オングストローム
未満の厚さを有する、上記(6)に記載の方法。 (10)前記物理的気相付着銅層を付着させるステップ
の前に、(i)前記パターン内で銅との金属間化合物を
形成することができる元素の層を付着させ、さらに前記
パターンを充填する銅の層を付着させるステップと、
(ii)基板を加熱して金属間化合物形成元素を前記パタ
ーンを充填する前記銅層と反応させて金属間化合物の層
を形成するステップとをさらに含む、上記(6)に記載
の方法。 (11)金属間化合物形成元素がハフニウム、ランタ
ン、チタン、スズ、およびジルコニウムから成るグルー
プから選択される、上記(10)に記載の方法。 (12)基板内の電気機構との接点を形成するために誘
電絶縁によって互いに分離された銅線の多層相互接続を
形成する方法であって、(a)画定されたパターン内に
銅線を収容する誘電絶縁層を有する基板を設けるステッ
プと、(b)前記パターンで金属線を付着させるステッ
プと、(c)化学的気相付着と物理的気相付着とから成
るグループから選択したプロセスによって前記パターン
内に約800オングストローム未満の厚さを有する銅の
シード層を付着させるステップと、(d)銅のシード層
の上に異なるプロセスによって銅の層を付着させて前記
パターンをほぼ充填するステップとを含む方法。 (13)銅シード層が約600オングストローム未満の
厚さを有する、上記(12)に記載の方法。 (14)前記銅シード層を付着させるステップの前に、
(i)前記パターンで銅との金属間化合物を形成するこ
とができる元素の層を付着させ、さらに前記パターンを
充填する銅の層を付着させるステップと、(ii)基板を
加熱して金属間化合物形成元素を前記パターンを充填す
る前記銅層と反応させて金属間化合物の層を形成するス
テップとをさらに含む、上記(12)に記載の方法。 (15)金属間化合物形成元素が、ハフニウム、ランタ
ン、チタン、スズ、およびジルコニウムから成るグルー
プから選択される、上記(14)に記載の方法。 (16)銅シード層が物理的気相付着によって付着され
る、上記(15)に記載の方法。 (17)銅シード層が化学的気相付着によって付着され
る、上記(15)に記載の方法。
【図面の簡単な説明】
【図1】電気めっきされた銅を使用して二重ダマシン法
で製作された従来技術の多層銅相互接続の一部を示す立
面図であり、通常のプロセスで生じた金属腐食と線欠陥
が図示されている図である。
【図2】従来の技術で使用される様々な金属層を示す、
図1の相互接続の一部の拡大図である。
【図3】有機誘電絶縁体の層と他の誘電絶縁体の薄い層
を付着させ、従来の技術の二重ダマシン法の教示により
バイア・スタッドと相互接続線の組み合わされたパター
ンをエッチングしてその下の金属機構を露出させた、本
発明の方法を開始する前の基板の立面図である。
【図4】本発明の銅金属間化合物形成組成を組み込んだ
形成状態の相互接続構造体内の様々な層を示す立面図で
ある。
【図5】本発明による、相互接続のために銅シード層を
金属間基層に変えた後の図4の相互接続構造体を示す立
面図である。
【図6】寸法の小さいバイア・スタッドを示す、銅相互
接続部の中央に金属間化合物層が形成された本発明の代
替実施形態の立面図である。
【図7】寸法の大きいバイア・スタッドを示す、銅相互
接続部の中央に金属間化合物層が形成された本発明の代
替実施形態の立面図である。
【図8】図4に示すように形成された構造体であるが、
上面から薄い銅の層を除去された、本発明によるキャッ
プ層を形成する処理ステップのシーケンスを示す図であ
る。
【図9】図4に示すように形成された構造体であるが、
上面から薄い銅の層を除去された、本発明によるキャッ
プ層を形成する処理ステップのシーケンスを示す図であ
る。
【図10】図4に示すように形成された構造体である
が、上面から薄い銅の層を除去された、本発明によるキ
ャップ層を形成する処理ステップのシーケンスを示す図
である。
【図11】図4に示すように形成された構造体である
が、上面から薄い銅の層を除去された、本発明によるキ
ャップ層を形成する処理ステップのシーケンスを示す図
である。
【図12】本発明による、PVDまたはCVD銅シード
層を使用して製作された形成状態の相互接続構造体内の
様々な層を示す立面図である。
【図13】シード層の上に銅の電気めっき層を付着さ
せ、ウエハを研磨して余分な金属を除去した後の図12
の構造体を示す立面図である。
【符号の説明】
2 絶縁層 3 絶縁層 4 絶縁層 5 線形金属配線層 6 線形金属配線層 7 金属間化合物形成元素層 8 銅シード層 9 銅相互接続線層 11 バイア・スタッド 12 溝 13 穴 101 バイア 102 金属相互接続線 103 絶縁層 105 基板
フロントページの続き (72)発明者 ホルマズドヤール・エム・ダラール アメリカ合衆国12547 ニューヨーク州ミ ルトン カッセル・ロード 16 (72)発明者 ポール・エス・マクラフリン アメリカ合衆国12601 ニューヨーク州ポ ーキプシー デビッド・ドライブ 27 (72)発明者 デュ・ビー・グエン アメリカ合衆国06810 コネチカット州ダ ンベリー ヒッコリー・ストリート 15 (72)発明者 リチャード・ジー・スミス アメリカ合衆国12603 ニューヨーク州ポ ーキプシー メイプロ・ロード・エクステ ンション 71 (72)発明者 アレグザンダー・ジェイ・スウィントン アメリカ合衆国12533 ニューヨーク州ホ ープウェル・ジャンクション ラシュモ ア・ロード 11 (72)発明者 リチャード・エイ・ワクニク アメリカ合衆国10509 ニューヨーク州ブ ルースター ルーラル・ルート 10 マイ ナー・ロード

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】基板内の電気機構との接点を形成するため
    に誘電絶縁によって互いに分離された銅線の多層相互接
    続を形成する方法であって、 (a)画定されたパターン内に銅線を収容する誘電絶縁
    層を有する基板を設けるステップと、 (b)前記パターン内に金属線を付着させるステップ
    と、 (c)化学的気相付着銅層を前記パターン内に付着させ
    るステップと、 (d)化学的気相付着銅層の上に異なるプロセスによっ
    て銅の層を付着させて前記パターンをほぼ充填するステ
    ップとを含む方法。
  2. 【請求項2】化学的気相付着銅層が約50ないし200
    0オングストロームの厚さを有する、請求項1に記載の
    方法。
  3. 【請求項3】化学的気相付着銅層が約100ないし70
    0オングストロームの厚さを有する、請求項1に記載の
    方法。
  4. 【請求項4】前記化学的気相付着銅層を付着させるステ
    ップの前に、 (i)前記パターン内に銅との金属間化合物を形成する
    ことができる元素の層を付着させ、さらに前記パターン
    を充填する銅の層を付着させるステップと、 (ii)基板を加熱して金属間化合物形成元素を前記パタ
    ーンを充填する前記銅層と反応させて金属間化合物の層
    を形成するステップとをさらに含む、請求項1に記載の
    方法。
  5. 【請求項5】金属間化合物形成元素が、ハフニウム、ラ
    ンタン、チタン、スズ、およびジルコニウムから成るグ
    ループから選択される、請求項4に記載の方法。
  6. 【請求項6】基板内の電気機構との接点を形成するため
    に誘電絶縁によって互いに分離された銅線の多層相互接
    続を形成する方法であって、 (a)画定されたパターン内に銅線を収容する誘電絶縁
    層を有する基板を設けるステップと、 (b)任意選択により前記パターン内に金属線を付着さ
    せるステップと、 (c)約800オングストローム未満の厚さを有する銅
    の物理的気相付着層を前記パターンで付着させるステッ
    プと、 (d)物理的気相付着銅層の上に異なるプロセスによっ
    て銅の層を付着させて前記パターンをほぼ充填するステ
    ップとを含む方法。
  7. 【請求項7】物理的気相付着がスパッタリングによる、
    請求項6に記載の方法。
  8. 【請求項8】物理的気相付着が蒸着による、請求項6に
    記載の方法。
  9. 【請求項9】物理的気相付着銅層が約600オングスト
    ローム未満の厚さを有する、請求項6に記載の方法。
  10. 【請求項10】前記物理的気相付着銅層を付着させるス
    テップの前に、 (i)前記パターン内で銅との金属間化合物を形成する
    ことができる元素の層を付着させ、さらに前記パターン
    を充填する銅の層を付着させるステップと、 (ii)基板を加熱して金属間化合物形成元素を前記パタ
    ーンを充填する前記銅層と反応させて金属間化合物の層
    を形成するステップとをさらに含む、請求項6に記載の
    方法。
  11. 【請求項11】金属間化合物形成元素がハフニウム、ラ
    ンタン、チタン、スズ、およびジルコニウムから成るグ
    ループから選択される、請求項10に記載の方法。
  12. 【請求項12】基板内の電気機構との接点を形成するた
    めに誘電絶縁によって互いに分離された銅線の多層相互
    接続を形成する方法であって、 (a)画定されたパターン内に銅線を収容する誘電絶縁
    層を有する基板を設けるステップと、 (b)前記パターンで金属線を付着させるステップと、 (c)化学的気相付着と物理的気相付着とから成るグル
    ープから選択したプロセスによって前記パターン内に約
    800オングストローム未満の厚さを有する銅のシード
    層を付着させるステップと、 (d)銅のシード層の上に異なるプロセスによって銅の
    層を付着させて前記パターンをほぼ充填するステップと
    を含む方法。
  13. 【請求項13】銅シード層が約600オングストローム
    未満の厚さを有する、請求項12に記載の方法。
  14. 【請求項14】前記銅シード層を付着させるステップの
    前に、 (i)前記パターンで銅との金属間化合物を形成するこ
    とができる元素の層を付着させ、さらに前記パターンを
    充填する銅の層を付着させるステップと、 (ii)基板を加熱して金属間化合物形成元素を前記パタ
    ーンを充填する前記銅層と反応させて金属間化合物の層
    を形成するステップとをさらに含む、請求項12に記載
    の方法。
  15. 【請求項15】金属間化合物形成元素が、ハフニウム、
    ランタン、チタン、スズ、およびジルコニウムから成る
    グループから選択される、請求項14に記載の方法。
  16. 【請求項16】銅シード層が物理的気相付着によって付
    着される、請求項15に記載の方法。
  17. 【請求項17】銅シード層が化学的気相付着によって付
    着される、請求項15に記載の方法。
JP10143914A 1997-05-30 1998-05-26 銅線の多層相互接続を形成する方法 Expired - Fee Related JP3057054B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/866,777 US6130161A (en) 1997-05-30 1997-05-30 Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US08/866777 1997-10-08
US08/947277 1997-10-08
US08/947,277 US6069068A (en) 1997-05-30 1997-10-08 Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity

Publications (2)

Publication Number Publication Date
JPH1145887A true JPH1145887A (ja) 1999-02-16
JP3057054B2 JP3057054B2 (ja) 2000-06-26

Family

ID=27127969

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10143914A Expired - Fee Related JP3057054B2 (ja) 1997-05-30 1998-05-26 銅線の多層相互接続を形成する方法

Country Status (6)

Country Link
US (2) US6069068A (ja)
EP (1) EP0881673B1 (ja)
JP (1) JP3057054B2 (ja)
KR (1) KR100304395B1 (ja)
DE (1) DE69836114T2 (ja)
TW (1) TW437044B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001274159A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
KR100333712B1 (ko) * 1999-06-24 2002-04-24 박종섭 반도체 소자의 상감형 금속배선 형성방법
JP2004531900A (ja) * 2001-06-20 2004-10-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド デポジション処理によって、相互接続領域を選択的に合金にする方法
KR100456259B1 (ko) * 2002-07-15 2004-11-09 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성방법
US6818991B1 (en) 1999-06-01 2004-11-16 Nec Electronics Corporation Copper-alloy interconnection layer
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7259089B2 (en) 2004-01-19 2007-08-21 Sony Corporation Semiconductor device manufacturing method that includes forming a wiring pattern with a mask layer that has a tapered shape
US7315084B2 (en) 2001-12-25 2008-01-01 Nec Electronics Corporation Copper interconnection and the method for fabricating the same
US7319271B2 (en) 2005-02-03 2008-01-15 Sony Corporation Semiconductor device
US7378340B2 (en) 2005-02-14 2008-05-27 Sony Corporation Method of manufacturing semiconductor device and semiconductor device
US7399706B2 (en) 2004-01-26 2008-07-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
WO2010048364A2 (en) * 2008-10-23 2010-04-29 Applied Materials, Inc. Methods for reducing damage to substrate layers during deposition processes
US7718524B2 (en) 2005-09-14 2010-05-18 Sony Corporation Method of manufacturing semiconductor device
US7800229B2 (en) 2006-02-14 2010-09-21 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US7923806B2 (en) 2003-03-28 2011-04-12 Fujitsu Semiconductor Limited Embedded wiring in copper damascene with void suppressing structure
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
JP2014075614A (ja) * 2014-01-27 2014-04-24 Fujitsu Semiconductor Ltd 半導体装置
US10943863B2 (en) 2016-06-30 2021-03-09 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7510961B2 (en) 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
JP3545177B2 (ja) 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
EP1019954B1 (en) 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP3149846B2 (ja) * 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
US6268289B1 (en) * 1998-05-18 2001-07-31 Motorola Inc. Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6720654B2 (en) 1998-08-20 2004-04-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with cesium barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6351036B1 (en) * 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
EP1114464A1 (en) * 1998-08-20 2001-07-11 THE UNITED STATES OF AMERICA as represented by THE SECRETARY OF THE NAVY Electronic devices with barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6734558B2 (en) 1998-08-20 2004-05-11 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with barium barrier film and process for making same
US6150257A (en) * 1998-08-28 2000-11-21 Micron Technology, Inc. Plasma treatment of an interconnect surface during formation of an interlayer dielectric
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
KR100546173B1 (ko) * 1998-09-21 2006-04-14 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
US6815336B1 (en) * 1998-09-25 2004-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6362526B1 (en) * 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6200906B1 (en) * 1998-12-17 2001-03-13 Micron Technology, Inc. Stepped photoresist profile and opening formed using the profile
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100559030B1 (ko) * 1998-12-30 2006-06-16 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6362099B1 (en) * 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6331484B1 (en) * 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
US6245655B1 (en) 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
KR100460746B1 (ko) * 1999-04-13 2004-12-09 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
US6395607B1 (en) 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
US6627542B1 (en) * 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6399479B1 (en) * 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
JP4049978B2 (ja) * 1999-09-15 2008-02-20 三星電子株式会社 メッキを用いた金属配線形成方法
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1234063A2 (en) * 1999-11-02 2002-08-28 University of Massachusetts Chemical fluid deposition for the formation of metal and metal alloy films on patterned and unpatterned substrates
US6689700B1 (en) 1999-11-02 2004-02-10 University Of Massachusetts Chemical fluid deposition method for the formation of metal and metal alloy films on patterned and unpatterned substrates
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6455425B1 (en) * 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
JP3449960B2 (ja) * 2000-02-25 2003-09-22 沖電気工業株式会社 半導体装置の製造方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP3651765B2 (ja) * 2000-03-27 2005-05-25 株式会社東芝 半導体装置
US6465887B1 (en) 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
CN100334709C (zh) * 2000-11-02 2007-08-29 富士通株式会社 半导体器件及其制造方法
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
US6627052B2 (en) 2000-12-12 2003-09-30 International Business Machines Corporation Electroplating apparatus with vertical electrical contact
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US20020081845A1 (en) * 2000-12-27 2002-06-27 Novellus Systems, Inc. Method for the formation of diffusion barrier
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6465867B1 (en) * 2001-02-21 2002-10-15 Advanced Micro Devices, Inc. Amorphous and gradated barrier layer for integrated circuit interconnects
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
DE10127934A1 (de) * 2001-06-08 2002-12-19 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zum Herstellen einer gekapselten Leiterbahnkopplung
US6900119B2 (en) * 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
JP4181035B2 (ja) 2001-07-19 2008-11-12 アビザ ヨーロッパ リミティド タンタル膜の堆積
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US7696092B2 (en) 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6835655B1 (en) * 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US20030217462A1 (en) * 2001-12-13 2003-11-27 Fei Wang Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
KR100435784B1 (ko) * 2001-12-21 2004-06-12 동부전자 주식회사 반도체 소자의 금속배선 형성 방법
US6518184B1 (en) 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US6653236B2 (en) 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US7341947B2 (en) 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US6849173B1 (en) 2002-06-12 2005-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Technique to enhance the yield of copper interconnections
US6717266B1 (en) * 2002-06-18 2004-04-06 Advanced Micro Devices, Inc. Use of an alloying element to form a stable oxide layer on the surface of metal features
KR100443796B1 (ko) * 2002-06-29 2004-08-11 주식회사 하이닉스반도체 구리 금속 배선 형성방법
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7001841B2 (en) * 2002-08-26 2006-02-21 Matsushita Electric Industrial Co., Ltd. Production method of semiconductor device
US20040058526A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies North America Corp. Via liner integration to avoid resistance shift and resist mechanical stress
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US20050035046A1 (en) 2003-06-06 2005-02-17 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US7393439B2 (en) 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US6825561B1 (en) 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6958540B2 (en) * 2003-06-23 2005-10-25 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
KR100573897B1 (ko) * 2003-12-30 2006-04-26 동부일렉트로닉스 주식회사 반도체 제조 방법
KR100538444B1 (ko) * 2003-12-31 2005-12-22 동부아남반도체 주식회사 비아 홀 및 트렌치 형성 방법
US7128821B2 (en) * 2004-01-20 2006-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Electropolishing method for removing particles from wafer surface
JP4224434B2 (ja) * 2004-06-30 2009-02-12 パナソニック株式会社 半導体装置及びその製造方法
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
CN1983550A (zh) * 2005-12-14 2007-06-20 中芯国际集成电路制造(上海)有限公司 提高可靠性和成品率的消除铜位错的方法
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
US8212331B1 (en) * 2006-10-02 2012-07-03 Newport Fab, Llc Method for fabricating a backside through-wafer via in a processed wafer and related structure
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR100924556B1 (ko) 2008-01-04 2009-11-02 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7859114B2 (en) * 2008-07-29 2010-12-28 International Business Machines Corporation IC chip and design structure with through wafer vias dishing correction
US8166651B2 (en) * 2008-07-29 2012-05-01 International Business Machines Corporation Through wafer vias with dishing correction methods
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
FR2963160A1 (fr) 2010-07-22 2012-01-27 St Microelectronics Crolles 2 Procede de realisation d'un niveau de metallisation et d'un niveau de via et circuit integre correspondant
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9059255B2 (en) * 2013-03-01 2015-06-16 Globalfoundries Inc. Methods of forming non-continuous conductive layers for conductive structures on an integrated circuit product
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
US9496174B2 (en) 2014-07-24 2016-11-15 Qualcomm Incorporated Mitigating electromigration, in-rush current effects, IR-voltage drop, and jitter through metal line and via matrix insertion
JP6083846B1 (ja) * 2016-09-30 2017-02-22 久美代 森田 糠床の水取り容器
KR102661959B1 (ko) * 2018-09-20 2024-04-30 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
CN112247825B (zh) * 2020-09-04 2021-09-28 北京烁科精微电子装备有限公司 一种芯片研磨方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4007039A (en) * 1975-03-17 1977-02-08 Olin Corporation Copper base alloys with high strength and high electrical conductivity
US4017890A (en) * 1975-10-24 1977-04-12 International Business Machines Corporation Intermetallic compound layer in thin films for improved electromigration resistance
US4153518A (en) * 1977-11-18 1979-05-08 Tektronix, Inc. Method of making a metalized substrate having a thin film barrier layer
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
US4406858A (en) * 1981-12-30 1983-09-27 General Electric Company Copper-base alloys containing strengthening and ductilizing amounts of hafnium and zirconium and method
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4749548A (en) * 1985-09-13 1988-06-07 Mitsubishi Kinzoku Kabushiki Kaisha Copper alloy lead material for use in semiconductor device
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5250329A (en) * 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
JPH03244126A (ja) * 1990-02-22 1991-10-30 Toshiba Corp 半導体装置の製造方法
JPH05504790A (ja) * 1990-04-03 1993-07-22 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 高純度金属の低温化学気相付着のための製造システム
US5273775A (en) * 1990-09-12 1993-12-28 Air Products And Chemicals, Inc. Process for selectively depositing copper aluminum alloy onto a substrate
US5266446A (en) * 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5187300A (en) * 1991-02-04 1993-02-16 Air Products And Chemicals, Inc. Volatile precursors for copper CVD
US5231751A (en) * 1991-10-29 1993-08-03 International Business Machines Corporation Process for thin film interconnect
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5434451A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Tungsten liner process for simultaneous formation of integral contact studs and interconnect lines
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
JP3632981B2 (ja) * 1993-08-16 2005-03-30 株式会社東芝 多層配線基板および多層配線装置の製造方法
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JPH08102463A (ja) * 1994-09-30 1996-04-16 Mitsubishi Electric Corp 集積回路、その製造方法およびその薄膜形成装置
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JP3323055B2 (ja) 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6074499A (en) * 1998-01-09 2000-06-13 South Dakoga School Of Mines And Technology Boron-copper-magnesium-tin alloy and method for making same

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818991B1 (en) 1999-06-01 2004-11-16 Nec Electronics Corporation Copper-alloy interconnection layer
KR100333712B1 (ko) * 1999-06-24 2002-04-24 박종섭 반도체 소자의 상감형 금속배선 형성방법
JP2001274159A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
JP2004531900A (ja) * 2001-06-20 2004-10-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド デポジション処理によって、相互接続領域を選択的に合金にする方法
US7315084B2 (en) 2001-12-25 2008-01-01 Nec Electronics Corporation Copper interconnection and the method for fabricating the same
KR100456259B1 (ko) * 2002-07-15 2004-11-09 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성방법
US7923806B2 (en) 2003-03-28 2011-04-12 Fujitsu Semiconductor Limited Embedded wiring in copper damascene with void suppressing structure
US7259089B2 (en) 2004-01-19 2007-08-21 Sony Corporation Semiconductor device manufacturing method that includes forming a wiring pattern with a mask layer that has a tapered shape
US7399706B2 (en) 2004-01-26 2008-07-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US7319271B2 (en) 2005-02-03 2008-01-15 Sony Corporation Semiconductor device
US7378340B2 (en) 2005-02-14 2008-05-27 Sony Corporation Method of manufacturing semiconductor device and semiconductor device
US7718524B2 (en) 2005-09-14 2010-05-18 Sony Corporation Method of manufacturing semiconductor device
US7800229B2 (en) 2006-02-14 2010-09-21 Nec Electronics Corporation Semiconductor device and method for manufacturing same
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
WO2010048364A2 (en) * 2008-10-23 2010-04-29 Applied Materials, Inc. Methods for reducing damage to substrate layers during deposition processes
WO2010048364A3 (en) * 2008-10-23 2010-08-05 Applied Materials, Inc. Methods for reducing damage to substrate layers during deposition processes
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
JP2014075614A (ja) * 2014-01-27 2014-04-24 Fujitsu Semiconductor Ltd 半導体装置
US10943863B2 (en) 2016-06-30 2021-03-09 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics

Also Published As

Publication number Publication date
KR19980087540A (ko) 1998-12-05
TW437044B (en) 2001-05-28
US6069068A (en) 2000-05-30
DE69836114D1 (de) 2006-11-23
EP0881673A2 (en) 1998-12-02
EP0881673B1 (en) 2006-10-11
US6258710B1 (en) 2001-07-10
KR100304395B1 (ko) 2001-11-02
DE69836114T2 (de) 2007-04-19
EP0881673A3 (en) 1998-12-09
JP3057054B2 (ja) 2000-06-26

Similar Documents

Publication Publication Date Title
JP3057054B2 (ja) 銅線の多層相互接続を形成する方法
US6287954B1 (en) Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US5565707A (en) Interconnect structure using a Al2 Cu for an integrated circuit chip
EP1992012B1 (en) Novel structure and method for metal integration
KR100339179B1 (ko) 상호 접속 구조 및 그 형성 방법
US6188135B1 (en) Copper interconnect with top barrier layer
US7670943B2 (en) Enhanced mechanical strength via contacts
KR100623556B1 (ko) 상호 접속 구조 및 그 제조 방법
US20040219783A1 (en) Copper dual damascene interconnect technology
US7365001B2 (en) Interconnect structures and methods of making thereof
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6140237A (en) Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US20020167089A1 (en) Copper dual damascene interconnect technology
US10361119B1 (en) Enlarged contact area structure using noble metal cap and noble metal liner
TW200301524A (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR100788064B1 (ko) 반도체 디바이스에 대한 금속화를 형성하는 방법
KR20040037305A (ko) 반도체 소자의 금속 배선 형성 방법
JP2004014816A (ja) 半導体製造方法および半導体装置
JP2000260863A (ja) 半導体装置及びその製造方法
KR20000041322A (ko) 반도체 장치의 비아콘택 형성방법

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090414

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100414

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110414

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110414

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120414

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120414

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130414

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees