JPH1074705A - 高温サセプタ - Google Patents

高温サセプタ

Info

Publication number
JPH1074705A
JPH1074705A JP18661697A JP18661697A JPH1074705A JP H1074705 A JPH1074705 A JP H1074705A JP 18661697 A JP18661697 A JP 18661697A JP 18661697 A JP18661697 A JP 18661697A JP H1074705 A JPH1074705 A JP H1074705A
Authority
JP
Japan
Prior art keywords
susceptor
inches
rounded
rounded edge
radius
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP18661697A
Other languages
English (en)
Inventor
Aifua Chan
アイフア チャン
Ruueipingu Wangu
ルウェイピング ワング
Mingu Shi
ミング シ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1074705A publication Critical patent/JPH1074705A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 プロセス中、高温クリーニングサイクル中、
又は腐食性CVDの適用中の、化学的腐食及び熱サイク
ルに対する耐性を改善したサセプタを提供する。 【解決手段】 サセプタ30が、リップ34によって環
囲されている表面32、傾斜面が形成された内側面3
6、上面38、外側面42、上面38と外側面42との
間の第1の丸められた縁部40、表面32と内側面36
との間の第2の丸められた縁部39、及び内側面36と
上面38との間の第3の丸められた縁部37を備える。
サセプタ30は窒化アルミニウムの被膜によって覆われ
たグラファイト本体を備える。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学気相堆積に関
する。
【0002】
【従来の技術】化学気相堆積(CVD)は、基板に様々
な種類の膜を堆積するための一般的なプロセスであり、
半導体集積回路の製造に広く用いられている。CVDプ
ロセスでは、最終的な膜に必要な原子を含んでいる化学
物質が、堆積チャンバ内で混合されて反応する。元素又
は分子は基板表面に堆積し、蓄積して膜を形成する。通
常、膜が堆積する基板はサセプタに取り付けられるが、
そのサセプタはCVDプロセスの種類によって様々な材
料から構成されうる。サセプタは、熱伝導性が良く、熱
変形に対して高い耐性を有することが好ましい。例え
ば、アルミニウムは熱伝導性が良い一般的なサセプタ材
料であるが、脆くて高温に耐えられない。ゆえに、窒化
アルミニウム(AlN)で被覆されたグラファイト又は
ガラス状炭素(glass carbon)で作られたサセプタが一般
的になってきている。
【0003】CVDシステムに用いられている加熱手段
は2種類あり、どのようにサセプタを加熱するかによっ
て区別されている。抵抗加熱手段は、抵抗加熱エレメン
トを利用して直接ウエハを加熱し、ウエハの部分だけに
制限された局所的な反応を引き起こすものである。一
方、ランプ加熱形式は、放射加熱ランプを用いて基板、
サセプタ及びチャンバを加熱して、チャンバのあらゆる
場所で反応を生じさせるものである。
【0004】様々な半導体の分野に適用される代表的な
CVDプロセスとしてはジクロルシラン(DCS)珪化
タングステンプロセスがある。抵抗加熱システムは、こ
のDCSプロセスには、プロセス発生温度の観点から適
さない。抵抗加熱システムは、必要とされるプロセス温
度範囲(500℃〜600℃)を持続することができな
いからである。結果的に、DCSプロセスは、例えばハ
ロゲンランプで加熱されたCVDチャンバで行われる。
【0005】DCS珪化タングステンプロセスでは、珪
化タングステン膜はWF6,DCS,及びSiH4の反応
によって形成される。他のCVDプロセスと同様に、一
連のウエハ(典型的には25枚)を処理した後、チャン
バはクリーニングされ、反応チャンバ壁やチャンバ内の
構成要素に堆積している反応生成物が除去される。サセ
プタは、クリーニングプロセス中、CVDチャンバ内に
置かれたままになっている。
【0006】二つの異なった種類のクリーニングプロセ
スが一般的に利用されている。それは化学クリーニング
又はプラズマクリーニングである。プラズマクリーニン
グでは、NF3及びRFエネルギを用いてプラズマを発
生させる。その結果、プラズマクリーニングは更に局所
化されるが、制御がより困難なため、堆積物は不均一に
クリーニングされる。プラズマクリーニングプロセスが
500℃〜600℃の範囲の温度で行われると、サセプ
タはひどく損傷を受け、かなりの微粒子がシステムの他
の構成要素から発生するであろう。加えてプラズマクリ
ーニングが局所化されて、更に不均一になる。一方、化
学クリーニングは、より均一ではあるが、チャンバの構
成要素に更にストレスがかかる。
【0007】化学クリーニングは、プロセスチャンバ内
にClF3を入れ、高温でより激しい熱依存性の反応を
引き起こすものである。化学クリーニングは、適切に制
御しないとサセプタに損傷を与える可能性がある。四フ
ッ化塩素(ClF3)中、300℃〜600℃の温度で
の化学クリーニングは、サセプタが機械的及び化学的両
方のストレスを受けるため望ましくない。例えば、ガラ
ス状炭素のサセプタを用いた場合は、200℃の温度で
化学クリーニングを行わなければならない。このため、
プロセスチャンバを500℃〜600℃ のDCS珪化
タングステン処理温度から冷却することが必要であり、
よってチャンバのプロセススループットが遅れることと
なる。
【0008】ガラス状炭素又はグラファイトなどの材料
から形成されてAlN被膜を有しているサセプタは、特
に上述したような化学的又は機械的なストレスを受けや
すい。サセプタを形成するのに現在用いられている従来
材料は、熱的に不適当に組み合わされているために、被
膜材料とサセプタ材料の間に熱サイクルストレスが生
じ、サセプタ表面に亀裂が形成されるであろう。
【0009】図1の(A)及び図1の(B)は、従来の
サセプタのデザインの平面図及び側面図であり、当該技
術の現在の状態を示している。
【0010】サセプタ10には、処理される基板が置か
れる基板載置面12が備えられている。サセプタ10の
基板載置面12は有効領域を有しており、その有効領域
は基板10の周縁部に位置している複数本の保持柱18
の内縁16によって定義される内径部分14にまで広が
っている。サセプタ10の周縁部には、およそ11本の
保持柱18が備えられている。各保持柱は、図1の
(B)に示すように傾斜面が形成されている内側面18
を備えている。また、各保持柱には、サセプタ10の最
表面につながっている2つの側面が設けられている。表
面24と共に側面18,20,22の内側の部分により
形成される縁部は、クリーニングとプロセスステップと
の間の熱サイクルで発生する機械的なストレスがAlN
被膜に亀裂をもたらしうるストレスポイントに相当す
る。プロセス中に亀裂が発達すると、化学クリーニング
薬品が基板材料に浸透して、被膜材料やサセプタ材料を
腐食するので、サセプタはこれ以上プロセスに使用でき
なくなる。
【0011】結果的に、従来技術のサセプタ10は、一
般的に温度が約摂氏200度以下のレベルに維持される
化学クリーニングプロセスで利用されなければならな
い。これは著しくCVDプロセスのプロセス時間を増加
させ、CVDシステム全体としてのスループットを低減
させる。
【0012】
【課題を解決するための手段】本発明は、概略的に説明
すると、プロセス中、高温クリーニングサイクル中又は
腐食性CVDの適用中の、化学的腐食及び熱サイクルに
対する耐性を改善したサセプタを提供するものである。
サセプタは、本体がグラファイト材料でできており、そ
の本体は基板保持領域を画成する表面を有している。そ
の表面はリップで環囲されており、そのリップは、傾斜
面が形成された内側面、上面、外側面、上面と外側面と
の間にある丸みの付けられた第1縁部、上面と内側面と
の間にある丸み付けされた第2縁部、及び内側面と上記
表面との間にある丸みの付けられた第3縁部を有してい
る。一定の厚さの窒化アルミニウム膜を有する被膜が、
サセプタ本体を覆っている。サセプタは、窒化アルミニ
ウム被膜を形成するのに先立って、被膜の付着を改良す
るためにビード(bead)−ブラストされる。本体を構成し
ているグラファイト材料は、AlN被膜の熱膨張係数と
非常に近い熱膨張係数を有している。
【0013】
【発明の実施の形態】図2〜図8は、本発明によるサセ
プタを示すものである。このサセプタは、基板を化学気
相堆積(CVD)チャンバの中で移送するのに有利であ
り、高温プロセス及びクリーニングサイクルに用いるこ
とができ、よって全体としてのプロセスの処理時間を低
減することができる。本発明は、原理的には多くの異な
ったタイプのCVDシステムに利用できるが、チャンバ
は、誘電又は有機金属気相堆積システムであることが好
ましい。
【0014】図2に示すように、サセプタ30は基板保
持面32を有しており、基板保持面32はサセプタ30
の平面を構成している。サセプタ30が基板を保持して
いる最中に基板が横へ移動するのを防止するために、リ
ップ34がサセプタ30の外側面42に形成されてお
り、基板保持面32の境界部を構成している。
【0015】図5において更に詳細にリップ34を示
す。図5に示すように、基板載置面32の有効領域は、
リップ34の傾斜側面36の底縁部37によって画成さ
れている。底縁部37によってサセプタ30の内径は定
まり、一方、外側面42によって外径は定まる。一実施
形態として、200mmの基板を保持する場合、基板載
置面は底縁部37によって直径約8インチ(20.32
cm)として定められる。図5に示すように、底縁部3
7と頂縁部39との間の傾斜側面36の幅Wは、約0.
033インチ(0.084cm)であり、上面38の高
さHは、基板載置面32の上方約0.031インチ
(0.079cm)である。
【0016】リップ34は、外側面42と上面38との
間に丸みの付けられた外縁部40を有している。頂縁部
39及び底縁部37は、それぞれ半径が約0.015イ
ンチ(0.038cm),0.010インチ(0.02
5cm)の円になるように丸みが付けられている。この
丸み付けによって熱サイクル中の縁部のストレスが低減
される。外側面42と上面38の間の外縁部40は、半
径が約0.060インチ(0.15cm)の円になるよ
うに丸みが付けられている。これらの、本発明によるサ
セプタの機械的特徴は、本装置のストレス特性の低減に
大いに貢献している。
【0017】これらの寸法は一例であって、本発明の変
形形態では、サセプタのサイズ及び形に関連して変わる
であろうことは認知されるべきである。これらの値は、
熱サイクルストレスに対して最適の耐性を提供するよう
に、試行錯誤により経験的に決定されたものである。
【0018】図2は、付加的な穴62,64,66及び
68も示している。これらの穴は図7に断面図で示され
ている。基板取扱いフィンガは、これらの貫通穴によっ
て、基板を持ち上げたり、基板載置面32に下ろしたり
することができる。穴62,64,66及び68の代表
例として穴68について述べると、半径が0.06イン
チ(0.15cm)となるように丸みが付けられたエッ
ジ69を有し、幅は約0.38インチ(0.97cm)
であり、これも熱サイクル及び化学クリーニング中にお
けるサセプタのストレスに対する耐性に貢献している。
【0019】サセプタ30は、傾斜縁部48を備える底
面46を更に有している。サセプタ30の底面46を図
4に示す。この図で示すように、CVDチャンバにサセ
プタ30を固定する熱電対インサートをサセプタ30の
穴52,54及び56内に配置することができるよう
に、コーティングされていない領域50が提供されてい
る。サセプタ30は、窒化アルミニウム被膜で覆われた
グラファイト又はグラファイト複合材料から形成されて
いる。窒化アルミニウム被膜は、厚さが40〜60ミク
ロンの範囲であることが好ましい。本発明によると、サ
セプタ30の表面には、ビードブラスト作業に引き続い
て窒化アルミニウム被膜が付けられる。従来、サセプタ
30の表面には、窒化アルミニウム被膜を付ける準備と
して機械仕上げが行われていた。従来からは、表面を機
械加工すると、被膜がより良く付着すると考えられてい
る。しかし、実際は、ビートブラスト表面の表面仕上げ
をより粗くすると、被膜がより良く付着することが判っ
た。このことによって、窒化アルミニウム被膜がグラフ
ァイトサセプタ材料に、より良く接着できるようになっ
た。被膜の形成に先立ってサセプタの表面を粗くするた
めの技術としては、サンドブラストやグルービングな
ど、又は表面を粗くする機械加工でさえも、代りに用い
ることができる。図4に示すように、サセプタ30の底
面46の領域50の部分は、窒化アルミニウム被膜がな
いままになっており、AlNでサセプタをコーティング
するのに引き続いてニッケルスルファミン酸塩材料で選
択的にメッキされるようになっている。
【0020】図6は、サセプタ取付け用穴52,54の
図4のB−B線に沿っての断面図である。これらの穴
は、CVDチャンバに取り付けられているサセプタアー
ムにサセプタ30を固定するための取付け用インサート
50を入れるものである。図9の(A)及び図9の
(B)は、取付け用インサート50の平面図及び断面図
である。
【0021】図8は穴56の断面図であり、図10に断
面で示されている熱電対インサート60と共に用いら
れ、CVDチャンバの熱電対をサセプタ30に連結する
ためのものである。
【0022】サセプタ30を形成するのに利用されるグ
ラファイト材料の熱膨張係数は、窒化アルミニウム被膜
とほぼ一致する。従来技術のサセプタデザインでは、サ
セプタ材料の熱膨張係数は約7×10-6であり、本発明
の材料では、熱膨張係数は約5×10-6である。この材
料は、一般にオランダ、ヘルモンド(Helmond)のXycar
b Ceramics から購入することができる。なお、本発明
の窒化アルミニウム被膜の厚さは、40〜60ミクロン
の範囲である。
【0023】本発明の多くの特徴及び利点は、当業者に
とり明らかであろう。本発明は、機械的な熱並びにクリ
ーニングのサイクル、及び化学気相堆積に対して改善さ
れた耐性を有するサセプタを提供する。本発明のすべて
のこのような特徴及び利点は、特許請求の範囲によって
定められる発明の範囲内にあることが意図されている。
【図面の簡単な説明】
【図1】(A)及び(B)は、化学気相堆積に用いられ
る従来技術のサセプタを示す平面図及び側面図である。
【図2】本発明による、化学気相堆積のためのサセプタ
の平面図である。
【図3】図2に示すサセプタの側面図である。
【図4】図2に示すサセプタの底面図である。
【図5】図2のCーC線に沿っての断面図である。
【図6】図4のB−B線に沿っての断面図である。
【図7】図2のD−D線に沿っての断面図である。
【図8】図4のE−E線に沿っての断面図である。
【図9】(A)及び(B)は、図2に示すサセプタの熱
電対インサートの平面図及び断面図である。
【図10】図2に示すサセプタの熱電対インサートの断
面図である。
【符号の説明】
30…サセプタ、32…基板保持面、34…リップ、3
6…傾斜側面、37…底縁部、38…上面、39…頂縁
部、40…外縁部、42…外側面、50…取付け用イン
サート、52,54…サセプタ取付け用穴、56…穴、
60…熱電対インサート、62,64,66,68…
穴。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ワング ルウェイピング アメリカ合衆国, カリフォルニア州, フリーモント, サンミー アヴェニュー 622 (72)発明者 シ ミング アメリカ合衆国, カリフォルニア州, サンタ クララ, グラナダ アヴェニュ ー 3480, アパートメント 140

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 一定の領域を有する平坦な基板載置面、
    及び、 前記基板載置面を環囲して前記領域を画成し、前記基板
    載置面より一定の高さを有し、内側面と前記基板載置面
    との間に第1の丸みの付けられた縁部を有し、外側面
    と、上面と、前記該側面を前記上面に繋いでいる第2の
    丸みの付けられた縁部とを有するリップ、を備える装
    置。
  2. 【請求項2】 化学気相堆積のためのサセプタを構成す
    る請求項1に記載の装置。
  3. 【請求項3】 前記第2の丸みの付けられた縁部が、約
    0.020インチ(0.051cm)〜0.060イン
    チ(0.152cm)の半径を有するように丸みが付け
    られている請求項1に記載の装置。
  4. 【請求項4】 前記第1の丸みの付けられた縁部が、約
    0.010インチ(0.025cm)〜0.015イン
    チ(0.038cm)の半径を有するように丸みが付け
    られている請求項1に記載の装置。
  5. 【請求項5】 第3の丸みの付けられた縁部を前記上面
    と前記内側面との間に更に備え、前記第3の丸みの付け
    られた縁部が約0.015インチ(0.038cm)の
    半径を有するように丸みが付けられている請求項1に記
    載の装置。
  6. 【請求項6】 窒化アルミニウム被膜を有するグラファ
    イト複合材料で構成されている請求項1に記載の装置。
  7. 【請求項7】 前記グラファイト複合材料が、窒化アル
    ミニウムの熱膨張係数とほぼ一致した熱膨張係数を有す
    る請求項6に記載の装置。
  8. 【請求項8】 前記グラファイト複合材料が、窒化アル
    ミニウム被膜の形成に先立ってビードブラストされてい
    る請求項7に記載の装置。
  9. 【請求項9】 前記被膜の厚さが、約40ミクロン〜6
    0ミクロンである請求項8に記載の装置。
  10. 【請求項10】 凹形平坦面及びリップ部を有するウエ
    ハ支持面であって、前記リップ部が傾斜面の形成された
    内側面と、上面と、外側面とを有し、また更に前記内側
    面と前記凹形平坦面との間に第1の丸みの付けられた底
    縁部を有している前記ウエハ支持面を備える化学気相堆
    積のためのサセプタ。
  11. 【請求項11】 前記第1の丸みの付けられた底縁部
    が、約0.010インチ(0.025cm)〜0.01
    5インチ(0.038cm)の半径を有するように丸み
    が付けられている請求項10に記載のサセプタ。
  12. 【請求項12】 前記外側面と前記上面との間に第2の
    丸みの付けられた縁部を更に備え、前記第2の丸みの付
    けられた縁部が約0.020インチ(0.051cm)
    〜0.060インチ(0.152cm)の半径になるよ
    うに丸みが付けられている請求項10に記載のサセプ
    タ。
  13. 【請求項13】 第3の丸みの付けられた縁部を前記上
    面と前記内側面との間に更に備え、前記第3の丸みの付
    けられた縁部が、約0.015インチ(0.038c
    m)の半径を有するように丸みが付けられている請求項
    10に記載のサセプタ。
  14. 【請求項14】 窒化アルミニウム被膜を有するグラフ
    ァイト複合材料で構成されている請求項10に記載のサ
    セプタ。
  15. 【請求項15】 前記窒化アルミニウム被膜の厚さが、
    約40ミクロン〜60ミクロンである請求項14に記載
    のサセプタ。
  16. 【請求項16】 前記グラファイト複合材料が、窒化ア
    ルミニウムの熱膨張係数とほぼ一致した熱膨張係数を有
    する請求項14に記載のサセプタ。
  17. 【請求項17】 前記グラファイト複合材料が、窒化ア
    ルミニウム被膜の形成に先立ってビードブラストされて
    いる請求項14に記載のサセプタ。
  18. 【請求項18】 傾斜面の形成された内側面、上面、外
    側面、前記上面と前記外側面との間の第1の丸みの付け
    られた縁部、前記上面と前記内側面との間の第2の丸み
    の付けられた縁部、及び前記内側面と前記上面との間の
    第3の丸みの付けられた縁部を有しているリップによっ
    て環囲され、且つ基板保持領域を画成している表面を有
    し、グラファイト材料で構成されている本体と、 前記本体を覆っている、窒化アルミニウムの膜からなる
    被膜と、を備える化学気相堆積のためのサセプタであっ
    て、 前記本体を構成しているグラファイト材料が、AlN被
    膜の熱膨張係数とほぼ一致している熱膨張係数を有して
    いるサセプタ。
  19. 【請求項19】 AlN被膜の厚さが、約40ミクロン
    〜60ミクロンである請求項18に記載のサセプタ。
  20. 【請求項20】 前記第1の丸みの付けられた縁部が、
    約0.020インチ(0.051cm)〜0.060イ
    ンチ(0.152cm)の半径を有している請求項18
    に記載のサセプタ。
  21. 【請求項21】 前記第2の丸みの付けられた縁部が、
    約0.010インチ(0.025cm)〜0.015イ
    ンチ(0.038cm)の半径を有している請求項20
    に記載のサセプタ。
  22. 【請求項22】 前記第3の丸みの付けられた縁部が、
    約0.010インチ(0.025cm)〜0.015イ
    ンチ(0.038cm)の半径を有している請求項21
    に記載のサセプタ。
JP18661697A 1996-07-12 1997-07-11 高温サセプタ Withdrawn JPH1074705A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/680328 1996-07-12
US08/680,328 US5837058A (en) 1996-07-12 1996-07-12 High temperature susceptor

Publications (1)

Publication Number Publication Date
JPH1074705A true JPH1074705A (ja) 1998-03-17

Family

ID=24730652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18661697A Withdrawn JPH1074705A (ja) 1996-07-12 1997-07-11 高温サセプタ

Country Status (2)

Country Link
US (1) US5837058A (ja)
JP (1) JPH1074705A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
JP2009530806A (ja) * 2006-03-14 2009-08-27 エルジー イノテック カンパニー リミテッド サセプタ及びこれを備える半導体製造装置
WO2015001975A1 (ja) * 2013-07-05 2015-01-08 昭和電工株式会社 ウェハ支持台、およびそのウェハ支持台が用いられてなる化学的気相成長装置
JP2017022320A (ja) * 2015-07-14 2017-01-26 昭和電工株式会社 ウェハ支持台、ウェハ支持体、化学気相成長装置
KR20170026618A (ko) * 2014-07-03 2017-03-08 아익스트론 에스이 Cvd 반응기에서 코팅되는 평탄한 컴포넌트
JP2018119693A (ja) * 2017-01-23 2018-08-02 新日鐵住金株式会社 電気炉用中空電極及び電気炉

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US6245152B1 (en) * 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
US6113736A (en) * 1999-04-02 2000-09-05 Mosel Vitelic Inc. Gas ring apparatus for semiconductor etching
US6423175B1 (en) * 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6232229B1 (en) * 1999-11-19 2001-05-15 Micron Technology, Inc. Microelectronic device fabricating method, integrated circuit, and intermediate construction
US6410172B1 (en) 1999-11-23 2002-06-25 Advanced Ceramics Corporation Articles coated with aluminum nitride by chemical vapor deposition
JP2001338878A (ja) * 2000-03-21 2001-12-07 Sharp Corp サセプタおよび表面処理方法
NL1015550C2 (nl) * 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
JP2007210875A (ja) * 2005-07-29 2007-08-23 Nuflare Technology Inc 気相成長装置及び気相成長方法
JP4844086B2 (ja) * 2005-10-28 2011-12-21 三菱電機株式会社 半導体製造方法及びサテライト
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
WO2008058270A2 (en) * 2006-11-10 2008-05-15 Saint-Gobain Ceramics & Plastics, Inc. A susceptor and method of forming a led device using such susceptor
EP2338164A4 (en) * 2008-08-29 2012-05-16 Veeco Instr Inc VARIABLE THERMAL RESISTANCE PLATE HOLDER
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011109348A2 (en) * 2010-03-03 2011-09-09 Veeco Instruments Inc. Wafer carrier with sloped edge
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
KR101710770B1 (ko) * 2012-05-18 2017-02-27 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착을 위한 페로플루이드 밀봉부를 갖는 회전 디스크 리액터
CN102703883A (zh) * 2012-05-25 2012-10-03 奥特斯维能源(太仓)有限公司 一种板式pecvd镀膜载板
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN106716607A (zh) * 2014-09-05 2017-05-24 应用材料公司 用于基板热处理的基座与预热环
SG10201901906YA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Atmospheric epitaxial deposition chamber
WO2016036497A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Upper dome for epi chamber
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN215757604U (zh) * 2021-01-25 2022-02-08 苏州晶湛半导体有限公司 石墨盘
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3436255A (en) * 1965-07-06 1969-04-01 Monsanto Co Electric resistance heaters
JPS6169116A (ja) * 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd シリコンウエハ−の連続cvdコ−テイング用サセプター
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP3433760B2 (ja) * 1993-05-14 2003-08-04 ソニー株式会社 光ディスクの保護膜機
US5350427A (en) * 1993-06-14 1994-09-27 Varian Associates, Inc. Wafer retaining platen having peripheral clamp and wafer lifting means
TW262566B (ja) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
US5700725A (en) * 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
US5697427A (en) * 1995-12-22 1997-12-16 Applied Materials, Inc. Apparatus and method for cooling a substrate

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
JP2009530806A (ja) * 2006-03-14 2009-08-27 エルジー イノテック カンパニー リミテッド サセプタ及びこれを備える半導体製造装置
US8323413B2 (en) 2006-03-14 2012-12-04 Lg Innotek Co., Ltd Susceptor and semiconductor manufacturing apparatus including the same
WO2015001975A1 (ja) * 2013-07-05 2015-01-08 昭和電工株式会社 ウェハ支持台、およびそのウェハ支持台が用いられてなる化学的気相成長装置
JP2015015399A (ja) * 2013-07-05 2015-01-22 昭和電工株式会社 ウェハ支持台、およびそのウェハ支持台が用いられてなる化学的気相成長装置
KR20170026618A (ko) * 2014-07-03 2017-03-08 아익스트론 에스이 Cvd 반응기에서 코팅되는 평탄한 컴포넌트
JP2017522454A (ja) * 2014-07-03 2017-08-10 アイクストロン、エスイー Cvd反応炉におけるコーティングされた平坦部品
JP2017022320A (ja) * 2015-07-14 2017-01-26 昭和電工株式会社 ウェハ支持台、ウェハ支持体、化学気相成長装置
JP2018119693A (ja) * 2017-01-23 2018-08-02 新日鐵住金株式会社 電気炉用中空電極及び電気炉

Also Published As

Publication number Publication date
US5837058A (en) 1998-11-17

Similar Documents

Publication Publication Date Title
JPH1074705A (ja) 高温サセプタ
TWI809980B (zh) 具有沉積表面特徵之基板支撐組件
US5456757A (en) Susceptor for vapor deposition
KR100744860B1 (ko) 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
KR101012812B1 (ko) 반도체 공정 챔버 내에서 사용하기 위한 부품 및 그것을제조하는 방법
US5810936A (en) Plasma-inert cover and plasma cleaning process and apparatus employing same
TWI396247B (zh) 具有轂盤之晶圓載體
JP3911902B2 (ja) 処理装置及び金属部品の表面処理方法
TW524885B (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US5916370A (en) Semiconductor processing chamber having diamond coated components
JPH0594950A (ja) 反応室の設計及び化学蒸着反応器における粒子発生を最小限にする方法
JPH0837193A (ja) 半導体ウエハの表面温度の均一性を改善するための方法および装置
JPH0790590A (ja) 基板キャリア
JPH05214536A (ja) 反応炉
JP2005051200A (ja) メッキ処理された基板支持体
JP4222086B2 (ja) 熱処理装置
JP2023501304A (ja) 基板のエッジ膜厚均一性を向上させる処理キット
EP1184894A1 (en) Susceptor for semiconductor wafers
JP4461507B2 (ja) 成膜装置
US20210360748A1 (en) Plate type heater and manufacturing method thereof
JP4144057B2 (ja) 半導体製造装置用部材
JPH1041251A (ja) Cvd装置およびcvd方法
JPH0639709B2 (ja) プラズマcvd装置
JP4433686B2 (ja) 半導体あるいは液晶製造装置用保持体およびそれを搭載した半導体あるいは液晶製造装置
EP0629716B1 (en) Susceptor for vapor deposition

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20041005