JPH08227140A - 位相シフト・リソグラフィ・マスクおよびその製造方法 - Google Patents

位相シフト・リソグラフィ・マスクおよびその製造方法

Info

Publication number
JPH08227140A
JPH08227140A JP28355395A JP28355395A JPH08227140A JP H08227140 A JPH08227140 A JP H08227140A JP 28355395 A JP28355395 A JP 28355395A JP 28355395 A JP28355395 A JP 28355395A JP H08227140 A JPH08227140 A JP H08227140A
Authority
JP
Japan
Prior art keywords
phase shift
mask
phase
elements
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP28355395A
Other languages
English (en)
Other versions
JP2986087B2 (ja
Inventor
Burn J Lin
バーン・ジェング・リン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH08227140A publication Critical patent/JPH08227140A/ja
Application granted granted Critical
Publication of JP2986087B2 publication Critical patent/JP2986087B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 交互エレメント位相シフト・マスクの構造お
よびその製造方法を提供する。 【解決手段】 X方向に延びるすべてのマスク・パター
ン要素を、第1のマスク基板上に形成し、Y方向に延び
るすべてのマスク・パターン要素を、第2のマスク基板
上に形成する。2つのマスク基板を、ウェハ上への単一
の露光工程のために、単一のマスクに組合せる、あるい
は各マスクにより別個の露光を行い、単一のマスク・ウ
ェハ上に重ね合せる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、リソグラフィ、
特に、フォトリソグラフィにおいて用いられる位相シフ
ト・マスクに関するものである。
【0002】
【従来の技術】フォトリソグラフィにおいて、マスク
は、被加工物にパターンを露光させるために用いられて
いる。製造要件は、だんだんと小さくなる寸法のパター
ンの露光を要求しているので、フォトリソグラフィ処理
の現在の性能を増大させることを可能にする技術を採用
することが必要になりつつある。
【0003】現在では、小さいフィーチャ(featu
re)すなわち小さい幾何学パターンは、普通の光学的
フォトリソグラフィを用いて作成される。典型的には、
光学的フォトリソグラフィは、マスク上の光学的に不透
明な領域および光学的に透明な領域で作られたパターン
によって、光を遮りまたは透過させることによって実現
される。パターンの光学的に不透明な領域は、光を阻止
し、これにより影を投じおよび暗領域を形成する。一
方、光学的に透明な領域は、光を通過させ、これにより
明領域を形成する。明領域および暗領域が一旦形成され
ると、これら領域は、レンズ上に投影され、およびレン
ズを経て基板上に投影される。しかし、パターンの複雑
性を増大させる半導体デバイスの複雑性の増大、および
マスク上のパターン配置密度の増大の故に、2つの不透
明領域間の距離が小さくなってきた。不透明領域間の距
離が小さくなると、小さな開口が形成され、この開口を
通る光を回折する。回折された光は、拡がりまたは曲が
ろうとする。その結果、2つの不透明領域間のスペース
が解像されず、したがって回折は、光学的フォトリソグ
ラフィに対し厳しい制限要因となる。
【0004】光学的フォトリソグラフィにおける回折の
影響を処理する従来の方法は、前述したマスクに代わる
位相シフト・マスクを用いている。一般に、光は波とみ
なされており、位相シフトは、透明物質を伝搬する光波
の正則正弦パターンの波形のシフトのタイミングの変化
である。代表的には、位相シフトは、異なる厚さの透明
物質の領域、または異なる反射率の物質を通る、あるい
はこれら両方の物質を通る光によって実現され、これに
より光波の位相または周期的パターンを変化させる。位
相シフト・マスクは、回折光と位相シフトした回折光と
を組合せて建設的および破壊的干渉が好適に生じるよう
にして、回折の影響を軽減させる。
【0005】位相シフト・マスクの1種類の例として、
交互エレメント位相シフト・マスクおよび理論の詳細な
説明が、“Improving Resolution
in Photolithography with
a Phase−Shifting Mask”,
I.E.E.E. Transactions onE
lectron Devices,Vol.ED−2
9,No.12,December 1982に開示さ
れている。
【0006】位相シフト・マスク技術に関係する背景の
情報を与える他の文献は、以下のとおりである。
【0007】米国特許第5,153,083号明細書
“Method of Masking Phase−
Shifting Lithographic Mas
ks”は、位相シフト(2つの光学的レベル)マスクを
自己整合技術で製造する方法を開示している。この方法
によれば、マスクに第1レベルの溝が形成された後に、
マスクに第2レベルの溝が形成される。これは、マスク
上に電子レジストをパターニングし、パターニングされ
たレジストのエッジが、第1レベルの溝内に設けられる
ようにし、これにより第2レベルの溝のためのレジスト
・パターニングの正確な位置決めの必要性が避けられ
る。
【0008】米国特許第5,246,800号明細書
“DISCRETE PHASE SHIFT MSK
WRITING”は、個別領域を画成する位相シフト
・マスクを用いることによって、大規模集積回路ウェハ
上にフィーチャをフォトリソグラフィで作製する方法お
よび装置を開示している。この技術は、逆位相を有する
マスク部分によって形成される領域の接合部での零強度
(intensitynulls)の問題を克服してい
る。このマスクは、3つの位相を定める遷移領域を有し
ており、3つの位相は遷移領域の画素に次のように割当
てられている。すなわち、画素の位相割当ては、光学的
解像度および焦点深度を考慮したアルゴリズムから合成
される。各画素は、3つの個別位相のうちの割当てられ
た位相である。これにより、逆位相の2つの領域間のラ
ンプ(ramp)をシミュレートする遷移領域を形成
し、この遷移領域に相当する光学像の強度変動は、最小
化される。
【0009】米国特許第5,260,152号明細書
“PHASE SHIFTING MASK AND
METHOD OF MAKING SAME”は、以
下の工程を含む位相シフト・マスクの製造方法を開示し
ている。すなわち、基板上に遮光層を形成する工程と、
遮光層上にフォトレジストを形成する工程と、フォトレ
ジストをパターニングしてレジスト・パターンを形成す
る工程と、レジスト・パターンをマスクとして用いて遮
光層に開口を設ける工程と、基板を異方的にエッチング
して、位相シフト・セグメントを形成する工程と、遮光
パターンをサイド・エッチングして、遮光領域を形成す
る工程と、レジスト・パターンを除去する工程とを含ん
でいる。
【0010】米国特許第5,268,244号明細書
“SELF−ALIGNED PHASE SHIFT
ER FORMATION”は、位相シフト・フォトマ
スクを製造する方法を開示している。この方法は、垂直
面および水平面を有するパターニングされた金属層を基
板上に形成する工程を含んでいる。実質的に均一な位相
シフト物質が、パターニングされた金属層表面に堆積さ
れる。金属層の水平面から物質をほとんど除去し、およ
び金属層の垂直面すなわち側壁面上の物質を正しい位置
にほぼ残しておくように、位相シフト物質を異方的にエ
ッチングして、位相シフタの側壁構造の形成にフォトレ
ジスト・リソグラフィを使用することなく、位相シフト
・フォトマスクを形成している。
【0011】米国特許第5,273,850号明細書
は、“CHROMELESS PHASE−SHIFT
MASK AND METHOD”は、クロムレス
(chromeless)位相シフト・マスクに90°
の角度を形成する方法を開示している。第1の位相シフ
ト・エレメントおよび第2の位相シフト・エレメント
は、クロムレス位相シフト・マスク上に90°の角度で
配置されている。第1の位相シフト・エレメントと第2
の位相シフト・エレメントとの間には、所定のスペース
が設けられている。位相シフト・エレメント間のスペー
スは、半導体基板の意図しない露光を生じさせるホット
・スポットの形成を排除する。
【0012】米国特許第5,275,894号明細書
“PHASE SHIFTING MASK”は、所定
のパターンをもつ3つの隣り合う開口を有する不透明な
層と、それぞれが異なるシフト量を有する少なくとも2
つの位相シフタとを備える位相シフト・マスクを開示し
ている。少なくとも2つの開口は、2つの隣り合う開口
間の位相差が約120°(1/3λ)となるように、位
相シフタで被覆されている。したがって、2つの隣り合
う開口間の中間領域での光強度は、開口を通過する影響
を受けない光と比べて低下する。
【0013】米国特許第5,275,895号明細書
“METHOD OF MANUFACTURING
PHASE−SHIFTING MASK”は、複数の
遮光領域と、これら遮光領域間の開口とを有する遮光パ
ターンが、基板上に形成されることを、開示している。
次に、基板および遮光パターンは、導電膜で被覆され
る。その後、導電膜はパターニングされ、導電パターン
を画成する。次に、位相シフト膜およびレジスト膜が、
この順序で、基板,遮光パターン,導電パターン上に堆
積される。続いて、基板の底面に光ビームが照射され、
現像されて、レジスト・パターンが画成される。その後
に、レジスト・パターンをエッチング・マスクとして、
位相シフト膜が選択的にエッチングされる。その結果、
位相シフタが形成される。このように、導電パターン
は、エッチング・ストッパとして働くだけでなく、基板
が充電されるのを阻止する。したがって、位相シフト・
マスクが正確に作製される。
【0014】米国特許第5,275,896号明細書
“SINGLE−ALIGNMENTLEVEL LI
THOGRAPHIC TECHNIQUE FOR
ACHIEVING SELF ALIGNED FE
ATURES”は、レジスト層への、単一のパターニン
グされた、電子,イオン,またはフォトンの衝撃のみを
含む処理によって作製される位相シフト・リソグラフィ
・マスクを開示している。衝撃は、レジストに3種類の
領域、すなわち無ドーズ,低ドーズ,高ドーズの領域を
生成するように調整される。レジストのこれらの3つの
領域は、シリル化または光フラッディング(optic
al flooding)技術のいずれかが続いて行わ
れる普通の湿式現像と共に、用いられる。その後、他の
通常の湿式現像処理によって、レジスト層をパターニン
グし、乾式または湿式エッチングによって、パターニン
グされた不透明層およびパターニングされた透明位相シ
フト層よりなる下側2重層の形成を可能にする。位相シ
フト層は、透明基板上に設けられ、あるいは透明基板の
一部となる。
【0015】米国特許第5,286,581号明細書
“PHASE SHIFT MASKAND METH
OD FOR MAKING”は、位相シフト・マスク
を作製し、マスク・プレートを与える方法が開示されて
いる。半透明層が、マスク・プレート上に堆積される。
次に、半透明層が、所定の幾何学的パターンにパターニ
ングされる。次に、半透明層のパターニングは、所定の
距離、マスク・プレート内に続けて行われて、位相シフ
ト・マスクを作製する。
【0016】米国特許第5,288,569号明細書
“FEATURE BIASSINGAND ABSO
RPTIVE PHASE SHIFTING TEC
HNIQUES TO IMPROVE OPTICA
L PROJECTIONIMAGING”は、露光寛
容度と光学投影装置の焦点深度とを改善するために、フ
ィーチャ・バイアシング(feature biass
ing)が用いられる位相シフト・マスクを開示してい
る。位相シフタを吸収性にすることは、位相シフト・マ
スクの任意のレイアウトを容易にする。異なる吸収レベ
ルの位相シフタを組合せることによって、さらに改善が
行われる。バイアシングを吸収レベルと組合せることに
よって、さらなる改善が得られる。
【0017】この米国特許第5,288,569号明細
書は、本発明の方法を採用することができる、ある種の
位相シフト・マスクとして、他の従来技術を開示してい
る。この従来技術は、リム位相シフト・マスク技術と呼
ばれ、吸収体を担持する基板に関係する。基板は、吸収
体を越えて位相シフタを担持している。リム位相シフト
・マスク技術は、あらゆるマスク上のすべてのフィーチ
ャに適用できる。
【0018】
【発明が解決しようとする課題】この発明の目的は、与
えられたマスクを直交要素に分離することにより導き出
される2つのマスクの重ね合せを用いるリソグラフィ露
光方法を提供することにある。
【0019】この発明の他の目的は、交互に位相シフト
される、直交分離された2つのリソグラフィ・マスクを
用いる露光方法を提供することにある。
【0020】この発明のさらに他の目的は、リムPSM
技術によって位相シフトされる2つのマスクを用いる露
光方法を提供することにある。
【0021】この発明の他の目的は、Att PSM技
術によって位相シフトされる2つのマスクを用いる露光
方法を提供することにある。
【0022】この発明のさらに他の目的は、或るPSM
技術により位相シフトされる第1のマスクと、異なるP
SM技術によって位相シフトされる第2のマスクとを用
いる露光方法を提供することにある。
【0023】
【課題を解決するための手段】交互(Alt)エレメン
ト位相シフト・マスクは、例えば水晶よりなる実質的に
透明の基板を通常有している。基板上の窒化シリコンの
ような位相シフト物質、あるいは酸化物またはオキシニ
トライドのような他の適切な透明物質は、それを通過す
る放射線に位相シフトを与える働きをする。交互エレメ
ント位相シフト・マスクにおいて、個別の位相シフト無
しエレメントは、個別の位相シフト・エレメントに交互
に隣り合されて設けられる。
【0024】減衰(Att)位相シフト・マスクは、マ
スク基板上に設けられた例えばクロムよりなる吸収体の
個別の層を有している。ハイブリッド減衰・非減衰位相
シフト・マスク(Att−Utt PSM)は、交互エ
レメント位相シフト・マスク(Alt PSM)と組合
せて、Alt−Att−Utt PSMを与えることが
できる。
【0025】他の種類の位相シフト・マスクは、Att
PSMと米国特許第5,288,569号明細書に開
示された前述のリムPSMとのハイブリッドである。こ
のハイブリッド・マスクでは、シフト無しエレメント
は、リムによってそれぞれ囲まれている。リムは、放射
線を異なる量だけ(例えば3πラジアン)シフトするこ
とにより、Att PSMと同じ透過率を有している。
リムの形状および寸法は、前述の米国特許第5,28
8,569号明細書に説明されているリムPSMとして
知られているマスクに用いられるリム程、厳密ではな
い。この構造では、密に配置されたエレメントの各々
は、減衰位相シフタによって取り囲まれており、一方、
エレメントはまた隣り合うエレメントに対してシフトさ
れている。
【0026】交互エレメント位相シフト・マスクは、前
述した刊行物“ImprovingResolutio
n in Photolithography wit
ha Phase−Shifting Mask”に説
明されている密に配置クされた小さいパターンに対す
る、露光寛容度および焦点深さの改善には、非常に効果
的である。しかし、すべてのエレメントを交互に位相シ
フトすることは、厳密な1次元レイアウトにおいてのみ
可能である。刊行物“Proceedings IED
M,Dec.1991”に開示されているゲート・レベ
ル・パターンである図1に示されるような2次元レイア
ウトにおいて不成立(conflict)を見つけるこ
とは困難ではない。図1において、エレメント1,2,
3および4,5は、交互の条件を満足するが、違背が存
在する。すなわち、要求3と4との間には位相の交互が
存在しない。エレメント4の位相がシフトされて、エレ
メント3と4との間に位相シフトが形成されると、エレ
メント4と5との間の位相シフトは消滅するであろう。
図の他の多くの箇所において、同様の違背を発見でき
る。
【0027】
【発明の実施の形態】この発明においては、XおよびY
(直交)方向において別々に、パターンを交互させるこ
とによって、前述の違背をかなり軽減しまたは最少にで
きる。
【0028】この交互は、すべてのパターンをX方向パ
ターンとY方向パターンとに分ける処理によって行われ
る。X方向パターンは、第1のマスク上にリソグラフィ
露光技術によって設けられ、Y方向パターンは、第2の
マスク上にリソグラフィ露光技術によって同様に設けら
れる。露光放射線に用いられる波長は、0.1〜1ナノ
メータのスペクトルのどの部分からも得ることができ
る。
【0029】次に、各マスクは、ウェハ上にX露光およ
びY露光を重ね合せることによって、交互にシフトされ
および再結合される。
【0030】図2において、図1のパターンのうちのX
方向パターンは、第1のマスク上に設けられている。図
2の第1のマスクのX方向パターンは、交互に位相シフ
トされる要素である。点々の付された要素は、クロム・
マスク内のシフトされない開口であり、ハッチングの付
された要素は、クロム・マスク内のπシフトされる開口
である。
【0031】同様に、図3は、図1の交互に位相シフト
されたY方向パターンを含む第2のマスクを示してお
り、点々の付された要素は、クロム・マスク内のシフト
されない開口であり、ハッチングの付された要素は、ク
ロム・マスク内のπシフトされる開口である。
【0032】図2および図3のマスク・パターンにおい
て、図2に示すX要素に対しY方向における交互の不成
立はなく、図3に示すY要素に対しX方向における交互
の不成立はない。
【0033】図2のX要素パターンにおけるX方向の交
互不成立および図3のY要素パターンにおけるY方向の
交互不成立は、重要ではない。というのは、同一方向に
おけるエレメント間の分離は、通常重要ではないからで
ある。フィーチャの端部のエッジの位置が重要であるい
くつかの例が存在する。図9は、フィーチャの端部での
交互の不成立を解決することができない悪い場合の状況
を示している。これは、パターンを、図10に示すよう
に、2つのサブパターンに分割することによって処理す
ることができる。図10のサブパターンAは、同じマス
ク基板上に存在する。サブパターンBは、新しい交互不
成立が生じなければ、他の直交分離されたマスクと組合
せることができる。あるいはまた、第3のマスクを用い
て、サブパターンBを与えることができる。Xマスクお
よびYマスクの両方が、フィーチャの端部で、厳密に解
決できない交互不成立を有するならば、4つ程の多くの
マスクが存在する。ウェハ上のこれら3つまたは4つの
マスクの露光の組合せは、2つの露光を重ね合せるため
に与えられた教示に従っている。
【0034】図4は、ウェハ上にX露光およびY露光を
重ね合せることによって再結合された、図2のマスクの
X要素と図3のマスクのY要素とを示す。
【0035】別個の重ね合されたX露光およびY露光を
用いる代わりに、他の手段が、図2および図3に示すの
と同じ分離およびシフティング(shifting)を
用い、ウェハ上での露光のために複数のパターンを単一
のマスクに組合せることができる。得られた単一のマス
クは、図4と同じパターンを有している。
【0036】前述の単一マスクの実施例において、πシ
フトしたエッジによる不所望な不透明ラインが除去され
なければならない点を除いて、交互不成立は解決され
る。エレメント4のブランチを拡大した図5に示される
π/2領域を導入することによって、πシフト・エッジ
を除去することができる。また、π領域および2π/3
領域へのさらに細かい分割、あるいは0とπとの間の連
続変移を用いることができる。
【0037】この直交分離方法は、Att−Altまた
はrim−Alt構造を組合せることによって、さらに
改善される。rim−Alt PSMのY要素は、図6
に示される。
【0038】第1の別個のマスクおよび別個の露光の実
施例を用いる場合、次のうちの1つを行うことによっ
て、高重なり精度の2つの重ね合せ像を実現できる。 (1)矩形状のチップ・パターンによって、2つのマス
クを1つの基板上に作製して、図7に示すように、全レ
ンズ・フィールドを分けることができる。重なり精度を
約30〜100nmであるステージ精度にのみ依存させ
て、ウェハ露光を半フィールド間隔でステップされる。 (2)2つの別個のマスクによって、全ウェハがステッ
プされた後であって、ウェハがそのチャックからはずさ
れる前に、第2の露光を行うことができる。
【0039】2つの露光への直交分離は、位相シフトが
なくても、イメージング精度をそれ自身によって改善す
ることができる。というのは、角部および交差部での垂
直ラインおよび水平ラインの干渉が、部分的コヒーレン
ト照明により生じる干渉から、インコヒーレント照明に
より生じる干渉へ軽減されるからである。フォトレジス
トの露光の累積による、この残留干渉は、同じマスク上
の垂直ラインおよび水平ラインの直接干渉よりも十分に
小さい。さらに、パターン密度は減少し、イメージング
は一方向に延びるラインに制限され、高解像度が可能と
なる。
【0040】以上の説明は、この発明の一例であること
を理解すべきである。当業者であれば、この発明から逸
脱することなく、種々の変形,変更を行うことができ
る。したがって、この発明は、特許請求の範囲内でのす
べての変形,変更を含むものである。
【0041】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)X方向要素およびY方向要素を含むパターンに配
置された複数の要素物質を有する位相シフト・リソグラ
フィ・マスクであって、前記X方向は前記Y方向に直交
し、前記X方向要素およびY方向要素は、第1の零位相
シフト要素および第2のπラジアン位相シフト要素を含
み、第1の実質的に透明な基板を含む第1の位相シフト
・マスク層を有する位相シフト・リソグラフィ・マスク
において、前記第1の基板上に配置された複数のX方向
マスク要素を有し、前記第1の零位相シフトX方向要素
および前記第2のπラジアン位相シフトX方向要素が、
前記第1の基板上に、交互に隣り合って配置され、第2
の実質的に透明な基板を含む第2の位相シフト・マスク
層と、前記第2の基板上に配置された複数のY方向マス
ク要素を有し、前記第1の零位相シフトY方向要素およ
び前記第2のπラジアン位相シフトY方向要素が、前記
第2基板上に、交互に隣り合って配置されている、こと
を特徴とする位相シフト・リソグラフィ・マスク。 (2)前記第1および第2の実質的に透明な基板が組合
され重ね合され、前記XおよびY方向に配列された要素
を有する合成リソグラフィ・マスクを形成する、ことを
特徴とする上記(1)に記載の位相シフト・リソグラフ
ィ・マスク。 (3)前記X方向マスク要素および前記Y方向マスク要
素が、前記基板上の金属層であり、この金属層内に零位
相シフト開口とπ位相シフト開口とを交互に有し、これ
ら開口は、前記第1および第2の位相シフト・マスク層
上に、それぞれ、前記X方向および前記Y方向に位置す
る、ことを特徴とする上記(1)に記載の位相シフト・
リソグラフィ・マスク。 (4)前記第1および第2の実質的に透明な基板が、水
晶よりなることを特徴とする上記(3)に記載の位相シ
フト・リソグラフィ・マスク。 (5)前記金属層が、クロムよりなることを特徴とする
上記(4)に記載の位相シフト・リソグラフィ・マス
ク。 (6)前記交互に配置されたXおよびY方向のπ位相シ
フト開口が、放射線をπラジアンだけシフトさせる位相
シフト吸収物質を含むことを特徴とする上記(3)に記
載の位相シフト・リソグラフィ・マスク。 (7)前記第1および第2の位相シフト・マスク層の少
なくとも1つが、リム位相シフト・マスクであることを
特徴とする上記(1)に記載の位相シフト・リソグラフ
ィ・マスク。 (8)前記第1および第2の位相シフト・マスク層の少
なくとも1つが、減衰位相シフト・マスクであることを
特徴とする上記(1)に記載の位相シフト・リソグラフ
ィ・マスク。 (9)前記第1および第2の位相シフト・マスク層の少
なくとも1つが、交互エレメント位相シフト・マスクで
あることを特徴とする上記(1)に記載の位相シフト・
リソグラフィ・マスク。 (10)実質的に透明な基板を有する少なくとも1つの
他の位相シフト・マスク層をさらに備え、このマスク層
は、前記XおよびY方向要素から選ばれた複数の要素を
有することを特徴とする上記(1)に記載の位相シフト
・リソグラフィ・マスク。 (11)前記第1,第2,少なくとも1つの他の実質的
に透明な基板が組合され重ね合されて、XおよびY方向
に配列された要素を有する合成リソグラフィ・マスクを
形成することを特徴とする上記(10)に記載の位相シ
フト・リソグラフィ・マスク。 (12)前記第1および第2の位相シフト・マスク層の
少なくとも1つが、不透明ラインを除去するために、π
/2位相シフト要素を含むことを特徴とする上記(2)
に記載の位相シフト・リソグラフィ・マスク。 (13)位相シフト・リソグラフィ・マスクの製造方法
において、透明物質の第1の層上に、第1の複数の零位
相シフト要素および第1の複数のπラジアン位相シフト
要素を、交互に形成する工程を含み、透明物質の前記第
1の層上のすべての前記要素は、第1の直線方向に配置
され、透明物質の第2の層上に、第2の複数の零位相シ
フト要素および第2の複数のπラジアン位相シフト要素
を、交互に形成する工程を含み、透明物質の前記第2の
層上のすべての前記要素は、前記第1の直線方向に直交
する第2の直線方向に配置される、ことを特徴とする位
相シフト・リソグラフィ・マスクの製造方法。 (14)前記透明物質の第1および第2層を、組合せ重
ね合せて、前記第1および第2の直交する方向に配列さ
れた位相シフト要素を有する単一の合成リソグラフィッ
ク・マスクを形成する工程をさらに含むことを特徴とす
る上記(13)に記載の位相シフト・リソグラフィ・マ
スクの製造方法。 (15)前記第1の方向に設けられた前記第1の複数の
位相シフト要素を有する前記透明物質の第1の層に放射
線を照射する工程をさらに含み、前記放射線は、前記透
明物質の第1の層を通って、第1のパターンのリソグラ
フィ露光のために放射線感応ウェハ上に照射され、前記
第2の方向に設けられた前記第2の複数の位相シフト要
素を有する前記透明物質の第2の層に放射線を照射する
工程をさらに含み、前記放射線は、前記透明物質の第2
の層を通って、第2のパターンのリソグラフィ露光のた
めに前記ウェハ上に照射される、ことを特徴とする上記
(13)に記載の位相シフト・リソグラフィ・マスクの
製造方法。 (16)前記位相シフト要素を、リム位相シフト・マス
ク技術により形成することを特徴とする上記(13)に
記載の位相シフト・リソグラフィ・マスクの製造方法。 (17)前記位相シフト要素を、交互エレメント位相シ
フト・マスク技術により形成することを特徴とする上記
(13)に記載の位相シフト・リソグラフィ・マスクの
製造方法。 (18)前記位相シフト要素を、減衰位相シフト・マス
ク技術により形成することを特徴とする上記(13)に
記載の位相シフト・リソグラフィ・マスクの製造方法。 (19)前記位相シフト要素を、ハイブリッド減衰,非
減衰交互位相シフト・マスク技術により形成することを
特徴とする上記(13)に記載の位相シフト・リソグラ
フィ・マスクの製造方法。 (20)前記位相シフト要素を、ハイブリッド・リム非
減衰交互位相シフト・マスク技術により形成することを
特徴とする上記(13)に記載の位相シフト・リソグラ
フィ・マスクの製造方法。
【図面の簡単な説明】
【図1】位相シフト・マスクの交互に位相シフトされる
要素を示す図である。
【図2】図1の位相シフト・マスクの交互に位相シフト
されるX方向要素を示す図である。
【図3】図1の位相シフト・マスクの交互に位相シフト
されるY方向要素を示す図である。
【図4】再結合され重ね合された図2および図3のXお
よびY方向要素を示す図である。
【図5】π/2位相シフトを含む図4の一部を示す図で
ある。
【図6】リム位相シフト・マスクと組合された図3の交
互に位相シフトされるY要素を示す図である。
【図7】ウェハ露光を半フィールド間隔で行い、単一の
基板上に作製された2つの位相シフト・マスクを示す図
である。
【図8】ウェハ露光を半フィールド間隔で行い、単一の
基板上に作製された2つの位相シフト・マスクを示す図
である。
【図9】パターンのフィーチャ端部での交互不成立を解
決するために、いかにして第3および第4の基板を用い
ることができるかを説明するための図である。
【図10】パターンのフィーチャ端部での交互不成立を
解決するために、いかにして第3および第4の基板を用
いることができるかを説明するための図である。
【符号の説明】
1,2,3,4,5 エレメント

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】X方向要素およびY方向要素を含むパター
    ンに配置された複数の要素物質を有する位相シフト・リ
    ソグラフィ・マスクであって、前記X方向は前記Y方向
    に直交し、前記X方向要素およびY方向要素は、第1の
    零位相シフト要素および第2のπラジアン位相シフト要
    素を含み、第1の実質的に透明な基板を含む第1の位相
    シフト・マスク層を有する位相シフト・リソグラフィ・
    マスクにおいて、 前記第1の基板上に配置された複数のX方向マスク要素
    を有し、前記第1の零位相シフトX方向要素および前記
    第2のπラジアン位相シフトX方向要素が、前記第1の
    基板上に、交互に隣り合って配置され、 第2の実質的に透明な基板を含む第2の位相シフト・マ
    スク層と、 前記第2の基板上に配置された複数のY方向マスク要素
    を有し、前記第1の零位相シフトY方向要素および前記
    第2のπラジアン位相シフトY方向要素が、前記第2基
    板上に、交互に隣り合って配置されている、ことを特徴
    とする位相シフト・リソグラフィ・マスク。
  2. 【請求項2】前記第1および第2の実質的に透明な基板
    が組合され重ね合され、前記XおよびY方向に配列され
    た要素を有する合成リソグラフィ・マスクを形成する、
    ことを特徴とする請求項1記載の位相シフト・リソグラ
    フィ・マスク。
  3. 【請求項3】前記X方向マスク要素および前記Y方向マ
    スク要素が、前記基板上の金属層であり、この金属層内
    に零位相シフト開口とπ位相シフト開口とを交互に有
    し、これら開口は、前記第1および第2の位相シフト・
    マスク層上に、それぞれ、前記X方向および前記Y方向
    に位置する、ことを特徴とする請求項1記載の位相シフ
    ト・リソグラフィ・マスク。
  4. 【請求項4】位相シフト・リソグラフィ・マスクの製造
    方法において、 透明物質の第1の層上に、第1の複数の零位相シフト要
    素および第1の複数のπラジアン位相シフト要素を、交
    互に形成する工程を含み、透明物質の前記第1の層上の
    すべての前記要素は、第1の直線方向に配置され、 透明物質の第2の層上に、第2の複数の零位相シフト要
    素および第2の複数のπラジアン位相シフト要素を、交
    互に形成する工程を含み、透明物質の前記第2の層上の
    すべての前記要素は、前記第1の直線方向に直交する第
    2の直線方向に配置される、ことを特徴とする位相シフ
    ト・リソグラフィ・マスクの製造方法。
  5. 【請求項5】前記透明物質の第1および第2層を、組合
    せ重ね合せて、前記第1および第2の直交する方向に配
    列された位相シフト要素を有する単一の合成リソグラフ
    ィック・マスクを形成する工程をさらに含むことを特徴
    とする請求項4記載の位相シフト・リソグラフィ・マス
    クの製造方法。
  6. 【請求項6】前記第1の方向に設けられた前記第1の複
    数の位相シフト要素を有する前記透明物質の第1の層に
    放射線を照射する工程をさらに含み、前記放射線は、前
    記透明物質の第1の層を通って、第1のパターンのリソ
    グラフィ露光のために放射線感応ウェハ上に照射され、 前記第2の方向に設けられた前記第2の複数の位相シフ
    ト要素を有する前記透明物質の第2の層に放射線を照射
    する工程をさらに含み、前記放射線は、前記透明物質の
    第2の層を通って、第2のパターンのリソグラフィ露光
    のために前記ウェハ上に照射される、ことを特徴とする
    請求項4記載の位相シフト・リソグラフィ・マスクの製
    造方法。
JP28355395A 1994-11-17 1995-10-31 位相シフト・リソグラフィ・マスクおよびその製造方法 Expired - Fee Related JP2986087B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US340995 1989-04-20
US08/340,995 US5472814A (en) 1994-11-17 1994-11-17 Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement

Publications (2)

Publication Number Publication Date
JPH08227140A true JPH08227140A (ja) 1996-09-03
JP2986087B2 JP2986087B2 (ja) 1999-12-06

Family

ID=23335821

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28355395A Expired - Fee Related JP2986087B2 (ja) 1994-11-17 1995-10-31 位相シフト・リソグラフィ・マスクおよびその製造方法

Country Status (2)

Country Link
US (1) US5472814A (ja)
JP (1) JP2986087B2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002107902A (ja) * 2000-07-25 2002-04-10 Mitsubishi Electric Corp レイアウトパターンデータ補正装置,補正方法及び半導体デバイスの製造方法並びに記録媒体
US6518180B1 (en) 1998-10-23 2003-02-11 Hitachi, Ltd. Method for fabricating semiconductor device and method for forming mask suitable therefor
US6811954B1 (en) 2000-02-25 2004-11-02 Renesas Technology Corp. Semiconductor integrated circuit device and method of manufacturing the same, and method of manufacturing masks
JP2006259381A (ja) * 2005-03-17 2006-09-28 Nec Electronics Corp パターン形成方法、半導体装置の製造方法、位相シフトマスク及び位相シフトマスクの設計方法
JP2007123342A (ja) * 2005-10-25 2007-05-17 Nec Electronics Corp 半導体装置の製造方法。
JP2008172256A (ja) * 1997-03-31 2008-07-24 Asml Holding Nv 可変スリット装置および線幅の可変方法

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5741624A (en) 1996-02-13 1998-04-21 Micron Technology, Inc. Method for reducing photolithographic steps in a semiconductor interconnect process
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5795685A (en) * 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US6121129A (en) * 1997-01-15 2000-09-19 International Business Machines Corporation Method of contact structure formation
US5959325A (en) * 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6007968A (en) 1997-10-29 1999-12-28 International Business Machines Corporation Method for forming features using frequency doubling hybrid resist and device formed thereby
US6210866B1 (en) * 1998-05-04 2001-04-03 International Business Machines Corporation Method for forming features using self-trimming by selective etch and device formed thereby
US6190809B1 (en) 1999-10-20 2001-02-20 Taiwan Semiconductor Manufacturing Company Cost-effective method to fabricate a combined attenuated-alternating phase shift mask
DE19957542C2 (de) 1999-11-30 2002-01-10 Infineon Technologies Ag Alternierende Phasenmaske
US6421820B1 (en) * 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
JP3749083B2 (ja) * 2000-04-25 2006-02-22 株式会社ルネサステクノロジ 電子装置の製造方法
US6524752B1 (en) 2000-07-05 2003-02-25 Numerical Technologies, Inc. Phase shift masking for intersecting lines
US7083879B2 (en) 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
US6541165B1 (en) 2000-07-05 2003-04-01 Numerical Technologies, Inc. Phase shift mask sub-resolution assist features
ATE502321T1 (de) 2000-07-05 2011-04-15 Synopsys Inc Verfahren zum entwerfen einer phasenschiebermaske für komplexe muster
US6733929B2 (en) * 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6681379B2 (en) 2000-07-05 2004-01-20 Numerical Technologies, Inc. Phase shifting design and layout for static random access memory
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6811935B2 (en) * 2000-07-05 2004-11-02 Numerical Technologies, Inc. Phase shift mask layout process for patterns including intersecting line segments
US6978436B2 (en) * 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
WO2002025373A2 (en) 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6866971B2 (en) * 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6622288B1 (en) 2000-10-25 2003-09-16 Numerical Technologies, Inc. Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features
US6584610B1 (en) * 2000-10-25 2003-06-24 Numerical Technologies, Inc. Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
US6632576B2 (en) * 2000-12-30 2003-10-14 Intel Corporation Optical assist feature for two-mask exposure lithography
US6573163B2 (en) * 2001-01-29 2003-06-03 Sharp Laboratories Of America, Inc. Method of optimizing channel characteristics using multiple masks to form laterally crystallized ELA poly-Si films
US6548223B2 (en) * 2001-02-28 2003-04-15 Micron Technology, Inc. Methods of forming patterns across photoresist and methods of forming radiation-patterning tools
US6635393B2 (en) 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6553560B2 (en) * 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US6566019B2 (en) 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
US6573010B2 (en) 2001-04-03 2003-06-03 Numerical Technologies, Inc. Method and apparatus for reducing incidental exposure by using a phase shifter with a variable regulator
US6569583B2 (en) 2001-05-04 2003-05-27 Numerical Technologies, Inc. Method and apparatus for using phase shifter cutbacks to resolve phase shifter conflicts
US6593038B2 (en) 2001-05-04 2003-07-15 Numerical Technologies, Inc. Method and apparatus for reducing color conflicts during trim generation for phase shifters
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
ATE501463T1 (de) * 2001-05-18 2011-03-15 Koninkl Philips Electronics Nv Lithographische methode zur erzeugung eines elements
US6852471B2 (en) * 2001-06-08 2005-02-08 Numerical Technologies, Inc. Exposure control for phase shifting photolithographic masks
US6721938B2 (en) 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
US6523165B2 (en) 2001-07-13 2003-02-18 Numerical Technologies, Inc. Alternating phase shift mask design conflict resolution
US7178128B2 (en) * 2001-07-13 2007-02-13 Synopsys Inc. Alternating phase shift mask design conflict resolution
US6664009B2 (en) 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6698007B2 (en) 2001-10-09 2004-02-24 Numerical Technologies, Inc. Method and apparatus for resolving coloring conflicts between phase shifters
US6757886B2 (en) 2001-11-13 2004-06-29 International Business Machines Corporation Alternating phase shift mask design with optimized phase shapes
US6981240B2 (en) 2001-11-15 2005-12-27 Synopsys, Inc. Cutting patterns for full phase shifting masks
US6670646B2 (en) 2002-02-11 2003-12-30 Infineon Technologies Ag Mask and method for patterning a semiconductor wafer
US7122281B2 (en) * 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
US6605481B1 (en) 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
US6704921B2 (en) 2002-04-03 2004-03-09 Numerical Technologies, Inc. Automated flow in PSM phase assignment
US6785879B2 (en) * 2002-06-11 2004-08-31 Numerical Technologies, Inc. Model-based data conversion
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
DE10344645B4 (de) * 2003-09-25 2008-08-07 Qimonda Ag Verfahren zur Durchführung einer Doppel- oder Mehrfachbelichtung
TWI334962B (en) * 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
US20070087291A1 (en) * 2005-10-18 2007-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process to reduce interference
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
US8126694B2 (en) * 2008-05-02 2012-02-28 Nanometrics Incorporated Modeling conductive patterns using an effective model
US9005848B2 (en) * 2008-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
US20100187609A1 (en) 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
US9005849B2 (en) * 2009-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
US20110177435A1 (en) * 2010-01-20 2011-07-21 International Business Machines Corporation Photomasks having sub-lithographic features to prevent undesired wafer patterning
US9099533B2 (en) * 2013-07-02 2015-08-04 International Business Machines Corporation Semiconductor device with distinct multiple-patterned conductive tracks on a same level
US10489212B2 (en) 2013-09-26 2019-11-26 Synopsys, Inc. Adaptive parallelization for multi-scale simulation
US10402520B2 (en) 2013-09-26 2019-09-03 Synopsys, Inc. First principles design automation tool
WO2015048400A1 (en) 2013-09-26 2015-04-02 Synopsys, Inc. Estimation of effective channel length for finfets and nano-wires
US20160162625A1 (en) 2013-09-26 2016-06-09 Synopsys, Inc. Mapping Intermediate Material Properties To Target Properties To Screen Materials
WO2015048532A1 (en) 2013-09-26 2015-04-02 Synopsys, Inc. Parameter extraction of dft
US10516725B2 (en) 2013-09-26 2019-12-24 Synopsys, Inc. Characterizing target material properties based on properties of similar materials
US10078735B2 (en) 2015-10-30 2018-09-18 Synopsys, Inc. Atomic structure optimization
US10734097B2 (en) 2015-10-30 2020-08-04 Synopsys, Inc. Atomic structure optimization
US10685163B2 (en) 2017-03-01 2020-06-16 Synopsys, Inc. Computationally efficient nano-scale conductor resistance model
US12013350B2 (en) 2021-05-05 2024-06-18 Onto Innovation Inc. Effective cell approximation model for logic structures

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260152A (en) * 1990-01-12 1993-11-09 Sony Corporation Phase shifting mask and method of manufacturing same
US5153083A (en) * 1990-12-05 1992-10-06 At&T Bell Laboratories Method of making phase-shifting lithographic masks
JPH04368947A (ja) * 1991-06-18 1992-12-21 Mitsubishi Electric Corp 位相シフトマスクの作成方法
US5286581A (en) * 1991-08-19 1994-02-15 Motorola, Inc. Phase-shift mask and method for making
US5246800A (en) * 1991-09-12 1993-09-21 Etec Systems, Inc. Discrete phase shift mask writing
US5273850A (en) * 1991-11-04 1993-12-28 Motorola, Inc. Chromeless phase-shift mask and method for making
JP3186143B2 (ja) * 1991-11-11 2001-07-11 日東電工株式会社 耐熱性の良好な制振性感圧接着剤組成物とその製法
US5391441A (en) * 1992-02-21 1995-02-21 Hitachi, Ltd. Exposure mask and method of manufacture thereof
US5288569A (en) * 1992-04-23 1994-02-22 International Business Machines Corporation Feature biassing and absorptive phase-shifting techniques to improve optical projection imaging
US5268244A (en) * 1992-08-13 1993-12-07 Taiwan Semiconductor Manufacturing Company Self-aligned phase shifter formation

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008172256A (ja) * 1997-03-31 2008-07-24 Asml Holding Nv 可変スリット装置および線幅の可変方法
US6518180B1 (en) 1998-10-23 2003-02-11 Hitachi, Ltd. Method for fabricating semiconductor device and method for forming mask suitable therefor
US6811954B1 (en) 2000-02-25 2004-11-02 Renesas Technology Corp. Semiconductor integrated circuit device and method of manufacturing the same, and method of manufacturing masks
JP2002107902A (ja) * 2000-07-25 2002-04-10 Mitsubishi Electric Corp レイアウトパターンデータ補正装置,補正方法及び半導体デバイスの製造方法並びに記録媒体
JP4663857B2 (ja) * 2000-07-25 2011-04-06 ルネサスエレクトロニクス株式会社 レイアウトパターンデータ補正方法及び半導体デバイスの製造方法
JP2006259381A (ja) * 2005-03-17 2006-09-28 Nec Electronics Corp パターン形成方法、半導体装置の製造方法、位相シフトマスク及び位相シフトマスクの設計方法
JP4598575B2 (ja) * 2005-03-17 2010-12-15 ルネサスエレクトロニクス株式会社 パターン形成方法、半導体装置の製造方法、位相シフトマスク及び位相シフトマスクの設計方法
JP2007123342A (ja) * 2005-10-25 2007-05-17 Nec Electronics Corp 半導体装置の製造方法。

Also Published As

Publication number Publication date
JP2986087B2 (ja) 1999-12-06
US5472814A (en) 1995-12-05

Similar Documents

Publication Publication Date Title
JP2986087B2 (ja) 位相シフト・リソグラフィ・マスクおよびその製造方法
JP2739065B2 (ja) アパーチャ交番移相マスクを製造する方法
JP2986086B2 (ja) 位相シフト・マスクおよびその製造方法
US6134008A (en) Aligner and patterning method using phase shift mask
US6335130B1 (en) System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US5723236A (en) Photomasks and a manufacturing method thereof
US5672450A (en) Method of phase shift mask fabrication comprising a tapered edge and phase conflict resolution
KR20060091246A (ko) 포토마스크, 마스크 패턴의 생성 방법, 및 반도체 장치의제조 방법
US5686208A (en) Process for generating a phase level of an alternating aperture phase shifting mask
JP3588212B2 (ja) 露光用マスク及びその作製方法並びに半導体装置の製造方法
JP3163666B2 (ja) 位相シフトマスクを用いたパターン形成方法
US7160651B2 (en) Manufacturable chromeless alternating phase shift mask structure with phase grating
US5914204A (en) Phase shifting mask and a manufacturing method therefor
JP2001183806A (ja) 露光方法および位相シフトマスク
US7556891B2 (en) Method and apparatus for contact hole unit cell formation
JP4091150B2 (ja) 位相シフトマスク及びその製造方法
JP3268692B2 (ja) 半導体集積回路パターンの形成方法およびそれに用いるマスクの製造方法
CN110967918B (zh) 相移掩模版及其制作方法、相移掩模光刻设备
JPH09138497A (ja) レジスト露光方法及び露光マスク
KR100190115B1 (ko) 위상 시프트 마스크 및 그 제조방법
JP2919023B2 (ja) レジストパターン形成方法
JPH05333524A (ja) 位相シフトマスクおよびその製造方法
JPH04175746A (ja) マスク、その製造方法及びそれを用いた像形成方法
JPH0836253A (ja) 位相シフトレチクル
JPH0590121A (ja) レジストパターンの形成方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081001

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees