JPH07169703A - 高密度プラズマcvd及びエッチングリアクタ - Google Patents

高密度プラズマcvd及びエッチングリアクタ

Info

Publication number
JPH07169703A
JPH07169703A JP6203633A JP20363394A JPH07169703A JP H07169703 A JPH07169703 A JP H07169703A JP 6203633 A JP6203633 A JP 6203633A JP 20363394 A JP20363394 A JP 20363394A JP H07169703 A JPH07169703 A JP H07169703A
Authority
JP
Japan
Prior art keywords
wafer
chamber
reactor
plasma
antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6203633A
Other languages
English (en)
Other versions
JP3691528B2 (ja
Inventor
Kevin Fairbairn
フェアバーン ケヴィン
Romuald Nowak
ノアク ロムアルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH07169703A publication Critical patent/JPH07169703A/ja
Application granted granted Critical
Publication of JP3691528B2 publication Critical patent/JP3691528B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 アスぺクトレシオが2より大きなチャンネル
に対して、誘電体をスパッタリングで堆積する場合,通
常の方法では入口が早く閉塞されて内部に空所が生じ
る。このような空所を作らないようにプラズマCVDと
エッチングをうまく組み合わせたリアクタを提供する。 【構成】 RFスパッタエッチングプロセスでは被加工
面に対するイオンの入射角が45°の時にエッチング速
度が最も速い。この性質を利用してチャンネル入口の角
の所では堆積よりもエッチングの方が少し速くなるよう
にリアクタ天井の円形底壁50に設けた噴霧穴51から
反応ガスを超音速で噴出させ,RF電極74上に保持し
たウエハ46に衝突させる。円形底壁50の内側に配置
した磁石と,RFアンテナ49による誘導結合の効果
で,発生したプラズマ中のイオンの入射角の分布が適当
に調節されると,チャンネル入口の角部の誘電体堆積速
度が他の部分より遅くなり空所を作らぬ堆積が行われ
る。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、無線周波数(radi
o frequency(RF))プラズマ化学蒸着
(CVD)及びスパッタエッチングプロセス(sput
ter etch processes)を実行する為
のリアクアタに関し、特に前記両プロセスを同時に実行
する為のリアクタに関する。
【0002】
【従来の技術及び発明が解決しようとする課題】高アス
ペクトレシオ(即ちチャンネルの幅に対するチャンネル
の深さの比が大きい、例えば2より大の場合)を持った
小さい(0.5μm以下の)造作(features)
を有する集積回路構造上に薄い二酸化珪素(silic
on dioxide)膜をCVDで形成すると、殆ど
必ず、金属で描いた線(metal lines)の間
に空所(voids)が形成される。図1(a)に示さ
れるように、二本の金属で描いた線14A、14Bを分
離する非常に狭い(即ちアスペクト比が2より大の)チ
ャンネル12を有するデバイス上に誘電性の(diel
ectric)材料10を堆積する際、その誘電性の材
料10は比較的少ししかチャンネル12の底に到達せ
ず、空所15を残す。これは、誘電性の材料10が、金
属で描いた線14の垂直壁に沿ったどこよりも速く、金
属で描いた線14の角部16に堆積されるからであり、
このようにして堆積処理中にチャンネル12の底を殆ど
密閉してしまう。この問題を解決する一つの方法は、堆
積中に、それと同時に誘電性の材料10を角部からエッ
チングで取り除くことであり、これは表面に垂直に衝突
するイオンを使用するRFスパッタエッチングプロセス
を使うことによって行われる。このようにしてチャンネ
ル12が細くなるのを防止する。このプロセスは、アス
ペクト比が2より大のスペ−スに対して使用することが
でき、これは現在使用されている0.5μmより小さい
場合にはうまく行かない堆積とスパッタリングを順次行
う方法とは異なる。
【0003】図1(b)のグラフに図示されるように、
RFスパッタエッチングプロセスは、入ってくるイオン
に関して45度の角度を持った表面に対して最高エッチ
ング速度を有する。イオンを、ウエハ表面に関して直角
方向に衝突するように方向づけることによって、スパッ
タエッチングプロセスは、同時に行われる堆積プロセス
によって形成される角度を持った表面(角部16を覆う
ように形成される誘電体面のような)を急速にエッチン
グし、他の表面(即ち水平及び垂直な表面)をずっと遅
い速さでエッチングする。このようにしてチャンネル1
2の閉塞を防止し、図1(a)に示されるような空所1
5の形成を防止する。このことにより、図1(c)に図
示されているように、側壁及び角部16よりも、チャン
ネル12の底及び線14の上部表面に選択的に誘電性の
材料が堆積することが可能になる。
【0004】以上述べたことを実行する為には、角部1
6近傍のRFプラズマスパッタエッチングの速さは、堆
積の速さと同程度でなければならない。半導体デバイス
に電気的な損傷を与えることなく高いスピ−ド(生産処
理量)でスパッタリングするという要件を充足する為に
は、高いプラズマ密度が要求される。そのようなスパッ
タエッチング速さを全ウエハ(8インチシリコンウエハ
のような)に渡って達成する為には、プラズマイオン密
度は全ウエハに渡って十分に高く且つ一様でなければな
らない。そのような一様性は、殆ど完全にアルゴンイオ
ンからなるプラズマを使って容易に達成することができ
る。しかしながら、ここで望まれているスパッタエッチ
ングプロセスは、アルゴン以外の種(species)
の存在を要するCVDプロセスに付随するものであると
いうことが思い出される。特に、誘電性の材料10がS
iO2 である、シラン(SiH4 )を使用するCVDプ
ロセスに於いては、十分な量の酸素が存在しなければな
らず、そこでは酸素はプラズマ内でイオン化される。酸
素イオンは比較的寿命が短く、冷却(quenchin
g)に対して非常に鋭敏である。ウエハの表面、特に現
在よく使われている型の直径8インチのウエハの表面に
渡って濃度の高い又非常に一様な分布を有する酸素イオ
ンを得ることは非常に困難である。
【0005】プラズマは、電子サイクロトロン共振(e
lectron cyclotron resonan
ce(ECR))によって発生することができるのであ
るが、ECR装置は、設計の複雑さ、サイズ及びコスト
の点から、限られた商業的な魅力しかない。更に、その
プラズマが発生される所はウエハから離れているので、
ECRリアクタを直径8インチのウエハを収容すること
ができるように大きくすることは困難であり、又複雑な
磁場を同時に使用することを要する。
【0006】誘導結合プラズマをCVDシステム内で高
速スパッタエッチングに応用する技術の初期の形は、ヨ
−ロッパで公開された出願第EP0,520,519A
1号に記載されている。その中で説明されているよう
に、誘導結合プラズマが容量結合プラズマよりも優れて
いる点は、より大きなプラズマ密度が存在していたとし
ても、誘導結合プラズマがウエハ上のずっと小さなバイ
アス電圧で発生されることである(ウエハへの損傷の可
能性を低減する)。上で引用した特許出願に開示されて
いる酸化珪素(silicon oxide)の堆積に
於いては、シラン、殆どイオン化していないのである
が、はシリコンを供給し、気体状の酸素種(oxyge
n species)はCVDによる二酸化珪素の形成
の為に酸素を供給する。ウエハに隣接するシ−ス(sh
eath)を横切って加速されたアルゴンイオンは、ス
パッタエッチングの為に使用される。
【0007】図2は、CVD真空チャンバ20及び上で
引用した出願に開示されている一般的な型の誘導結合プ
ラズマを発生する為のRFアンテナ22を示している。
但しここでは、その特定のチャンバはシルクハット(t
op−hat)の形状を有している。そのRFアンテナ
22は、真空チャンバ20の円筒形垂直側壁24の周り
のソレノイドとしてコイル状に巻かれた導線である。コ
イルアンテナに隣接したソ−ス(source)チャン
バ壁は、絶縁体であり、一方天井(ceiling)2
6及びプロセスチャンバの壁は好ましくは接地されてお
り、その平らな天井26は接地された電極として機能す
る。
【0008】引用した出願の円筒形コイルは、コイルア
ンテ中のRFエネルギ−を誘導方位性電場(induc
ed azimuthal electric fie
ld)を経由して、プラズマ源領域(plasma s
ource region)に非共振的に結合する。自
由空間(free space)の中であっても、電場
はチャンバの中心においてゼロなる。プラズマが存在す
る時は、電場は更に急速にチャンバ壁から離れて減少す
る。電場は、プラズマ中に存在する電子を加速し、それ
は更に原子をイオン化し、あるいは分子を原子(ato
ms)又は遊離基 (radicals)に分解する。
その結合は、プラズマ共振に同調(tuned)しない
ので、その結合は周波数、圧力や局所的な幾何学的形状
に左右されることはずっと少ない。プラズマソ−ス領域
は、ウエハから空間を持って離された形に設計されてお
り、そのソ−ス領域で発生された遊離基あるいはイオン
及び原子はウエハに拡散する。
【0009】上で引用した出願のチャンバは第一に、比
較的低いチャンバ圧力においてエッチングをするように
設計されており、そこに於いては電子はセンチメ−タオ
−ダの平均自由工程(mean free path
s)を有している。それ故、電子は、第一にはチャンバ
壁に近い所で発生するのであるが、中心に向かって拡散
し、ソ−ス領域の直径全体に渡ってプラズマを均質化す
る傾向があると信じられる。結果として、イオン及び原
子或いは遊離基のウエハに対する拡散は、ウエハに渡っ
て比較的一様になる傾向がある。
【0010】上で引用した出願のリアクタは、それがC
VD堆積及びスパッタエッチングの為に使用される時に
は、特に酸素を伴う場合には、問題があると信じられ
る。CVDに対しては、そのチャンバ圧力は少々高い傾
向があり、電子の平均自由工程を低減し、プラズマ密度
の一様性を欠く結果となっており、そのピ−ク密度をプ
ラズマの外側環状帯(outer annulus)に
生じさせている。更に、酸素イオンあるいは遊離基は多
くの再結合工程(recombinationpath
s)をたどりやすく、それ故それらの拡散長さは比較的
限られる。そのようにして、ウエハの中心は、ウエハの
縁部よりもプラズマソ−ス領域から遠く、また図3のイ
オン密度の実線カ−ブに示されているように、酸素イオ
ン及び遊離基の密度は、ウエハの縁部に於けるよりもウ
エハ28の中心近くで低くなっている。ウエハ中心付近
では酸素イオンが不足している為、CVD堆積速さに比
べてスパッタエッチング速さが低くなっており、その為
ウエハ中心付近のチャンネルあるいは空間(例えば図1
(a)のチャンネル12)には、図1(a)に示される
ように空所15が形成されるようになる。一方ウエハの
周辺(periphery)近くの空間は、スパッタリ
ング速さと堆積速さとの間の比は望ましいものとなって
いる。
【0011】可能な解決方法の一つは、天井26の高さ
を高くすること及びウエハの上方にあるアンテナ22の
軸方向高さを増やすことである。(アルゴンのみについ
て言えば、このより高いソ−スに対するイオン分布は図
3の破線カ−ブに従えば、実質的には一様となってい
る。)しかしながらそのように高さを増加させることは
実際的ではない。というのは容積を大きくすればする
程、システムの清掃が困難になるからである。もう一つ
のとり得る解決方法は、そのソ−ス領域を非常に低い圧
力(1ミリト−ル(milliTorr)よりも低い)
で運転することであり、そこでは酸素イオン密度は極め
て一様となっており、イオン分布は、ウエハと上部電極
との間の距離に左右されるのであるが、それ程厳しい問
題とはならない。しかしながら、そのような高真空を維
持する為には非現実的に大きいサイズのポンプを必要と
し、それ故商業的に実行可能とする為には、1と30ミ
リト−ルの間の比較的低い真空(より高い圧力)が必要
である。
【0012】それらの問題の幾つかは、米国特許第4,
948,458号の中でオグル(Ogle)によって、
平坦な誘電体チャンバ頂部の上に置かれた平面状のスパ
イラルコイルアンテナを使用することによって対処され
ている。これはパンケ−キ(pancake)コイルと
呼ばれることがある。そのような設計は、チャンバの頂
部に隣接した一様なプラズマソ−ス領域を創り出し、そ
のようにしてウエハに対して一様なイオン及び遊離基の
拡散を提供すると主張されている。
【0013】しかしながらそのパンケ−キコイルには欠
点があると信じられる。その平面状の形態は、チャンバ
内へのRFパワ−結合の重要な部分が容量結合(cap
acitive coupling)であるというこ
と、即ち、それは誘導結合(inductive co
upling)の場合のようにアンテナに流れる電流に
よって誘導される電場(electric field
s)よりもむしろアンテナ構造の中にたまった電荷によ
って作られた電場を用いているということを暗示してい
る。容量結合は一般的に、非常に高い電場を創り出し、
それは次に半導体リアクタの中に有害な高エネルギ−電
子を創り出す。これと対照的に、上で引用したコリンズ
他の出願の誘導主体の結合は、より低い電場及びより低
い電子エネルギ−を生み出す。
【0014】従って、毎分1000オングストロ−ムの
オ−ダ−で、一様な酸素スパッタリングあるいはエッチ
ング速さを維持する為に、大きい(8インチ)ウエハに
渡って、1と30ミリト−ルの間で、高密度誘導結合プ
ラズマ内において酸素イオンを一様に分布させる必要が
ある。別の問題は、真空チャンバ20の側壁にあるガス
出口30から放出されるシランが、ウエハ28の方向に
向かうだけでなく全ての方向に等しく拡散してしまうこ
とである。シラン及び酸素ガスはお互いに任意に反応す
るので、又チャンバの壁は、(特に比較的半径の大きい
ウエハにとっては)ウエハ28の殆どの部分よりもガス
出口30に近いので、真空チャンバ20の内部表面全体
に対するSiO2 の堆積の方が、ウエハ28への堆積よ
りも大きくなる。このことは、リアクタは定期的に生産
活動から外し、SiO2 のコ−ティングを内部表面から
除去しなければならいという事を意味しており、これは
大変な損失である。
【0015】このようにして、チャンバの内面へのCV
D残留物(例えばSiO2 )の堆積が少なく又それ故に
頻繁な清掃も要しないリアクタが必要とされている。
【0016】
【課題を解決する為の手段と作用】本発明は、一局面に
従えば、ウエハを処理する為の真空チャンバと、そのチ
ャンバ中に反応性ガスを導入する為の1以上のガス源
と、そのチャンバ内で誘導結合によりプラズマを発生す
る為にそのチャンバ中にRFエネルギ−を放射する能力
があり、且つ二次元的に湾曲した面内に置かれているア
ンテナとを備える、RF誘導結合(inductive
ly coupled)プラズマリアクタに具体化され
ている。
【0017】本発明は、別の局面に従えば、ウエハ処理
の為の真空チャンバと、チャンバの中でウエハの上にあ
る部分内でプラズマを発生する為にチャンバ内にRFエ
ネルギ−を放射する能力のあるアンテナと、チャンバ内
のウエハの上にある部分に向けて超音速で反応性のガス
を噴霧する為の装置とを含むプラズマリアクタに具体化
されている。
【0018】本発明は、更に別の局面に従えば、ウエハ
処理用の真空チャンバと、そのチャンバ内でウエハの上
にある部分内でプラズマを発生する為にRFエネルギ−
をチャンバ内に放射する能力のあるアンテナと、真空チ
ャンバの真空封じ込め壁に熱的に結合され且つ少なくと
も殆どウエハの縁部の上にあるガス分配入口オリフィス
を有するそれぞれのノズル先端部を持って当該ウエハに
向かって延びている複数の伸延スプレ−ノズルを備えた
プラズマリアクタに具体化されている。
【0019】本発明は、更にもう一つの局面に従えば、
ウエハ処理用の真空チャンバと、そのチャンバの中で前
記ウエハの上にある部分内にプラズマを発生するため
に、該チャンバ中にRFエネルギーを放射する能力があ
るアンテナと、そのチャンバの内側にあり、そのチャン
バの中で前記ウエハの上にある部分内に反応性ガスを噴
霧するためのものであり、前記ウエハに関して対称に配
設され、該ウエハの縁の輪郭に沿っており、該ウエハの
実質的部分の上に存在することがないようになってい
る、閉じたチューブとを備えており、その閉じたチュー
ブが、その中に、前記ウエハの上にある前記真空チャン
バの内部部分に対向している複数のスプレー開口を有す
るプラズマリアクタに具体化されている。
【0020】本発明は、更にもう一つの局面に従えば、
ウエハ処理用の真空チャンバと、そのチャンバの中で前
記ウエハの上にある部分内にプラズマを発生するため
に、該チャンバ中にRFエネルギーを放射する能力があ
るアンテナと、前記チャンバの中で前記ウエハの上にあ
る前記部分内に反応性を噴霧するための平面スプレーシ
ャワーヘッド(planar spray showe
rhead)とを備え、該平面スプレーシャワーヘッド
が前記真空チャンバの中の前記ウエハの上方の(ove
r)内部部分の上にあり(overlying)、且つ
前記ウエハに対向する複数のスプレーノズル開口を有
し、さらに前記複数のノズル開口の隣接する開口の間で
あって、前記平面スプレーノズルの内部部分にある複数
の磁石とを備え、該複数の磁石が前記スプレーノズル開
口からイオンをはね返すような方向に向けられている、
プラズマリアクタに具体化されている。
【0021】本発明は、更にもう一つの局面に従えば、
ウエハ処理用の真空チャンバと、プラズマを発生するた
めに、該チャンバ中にRFエネルギーを放射する能力が
あるアンテナと、前記ウエハの上にあり、且つ電位に接
続可能である、ドーム形の導電性の電極とを備える、プ
ラズマリアクタに具体化されている。
【0022】本発明は、更に別の局面に従えば、片側に
ドーム形のアンテナを有し、又処理すべき(to be
processed)被加工物を保持する、真空プロ
セスチャンバを用意する工程と、前記プロセスチャンバ
中に、陰電性の(electronegative)ガ
スを含むプロセスガスを供給する工程と、RF電気信号
を前記アンテナに共振的に(resonantly)結
合する工程と、前記アンテナからの電磁エネルギーを、
前記プロセスガスから前記プロセスチャンバ内に形成さ
れたプラズマ中に、非共振的(non−resonan
tly)且つ誘導的に(inductively)結合
する工程であって、それによって前記被加工物が前記プ
ラズマによって処理される工程とを含む、プラズマプロ
セスに具体化されている。
【0023】
【実施例】図4に示すように、改良された誘導結合プラ
ズマCVDリアクタの第一の実施例は、シランガスを、
ウエハ40上により多くそして真空チャンバの内壁4
2、43、44の上にはより少なく集中する。これは、
ウエハに並行に又実質的に全ウエハ直径に渡って広がっ
ており、又真空チャンバの天井44にある開口部に配設
されたシャワ−ヘッド(showerhead)48に
よって、ウエハ表面46の上方に直接又それに近づけて
(約2インチ即ち5センチ以内に)、シランガスを放出
することによって行われる。この図示された実施例に於
いては、壁42は誘電性材料であり、一方壁43及び天
井44は、導電性(electrically con
ductive)材料である。図2の装置におけるよう
に、チャンバ壁43、44は電気的に接地されている。
シャワ−ヘッド48の上方からウエハ46の下方まで延
びたコイル状に巻かれたRFアンテナ49は、誘電性の
壁42を通して、誘導結合によってチャンバ内でプラズ
マを発生する。
【0024】シャワ−ヘッド48は、ウエハ40に並行
であり、又多くの細い垂直な噴霧貫通穴51を有する平
坦な円形底壁50から成っている。シャワ−ヘッド48
は、チャンバの天井44の頂部に載った円形肩部54を
有する円筒形壁52と一体的に形成されている。円形の
中間壁56は、底壁50の噴霧穴(spray ope
nings)51と横方向に食い違った(latera
lly interleaved)細い垂直噴霧貫通穴
58を有する。噴霧チャンバ60は、円形の底壁と中間
壁と円筒形の側壁52の間に形成されている。ノズルの
平坦な円形頂部壁62は、中間壁56と側壁52と共に
マニホ−ルド64を画成している。一対の外部ガス入口
66、68は、頂部壁62を通してマニホ−ルド64の
中に延びている混合マニホ−ルド74につながってい
る。二酸化珪素CVDの為に、シランと酸素が別々の管
を通してチャンバ内に供給される。プラズマを底部ノズ
ル壁50の表面から離して閉じ込める為に、一式の不連
続な磁石72が、(よく知られているプラズマ閉じ込め
技術に従って)底部ノズル壁50の全上表面に渡って配
置されている。それらの磁場線(magnetic f
ield lines)は図4に示すようなフィ−ルド
ライン(field line)72Aに対応してい
る。
【0025】天井44上のチャンバの上表面及びノズル
円筒形側壁52の外表面には絶縁73を施してもよい。
【0026】ウエハ40は、ノズルの底壁50の約2イ
ンチ(5センチメ−タ)以内に、RF電極74(上で引
用した譲受人共通の出願に開示された型の)によって支
えられている。この特徴により、入ってくるガスを確実
に選択的にウエハ40の方に分配することが出来、その
ことによりCVDリアクタとしての優れた性能を保証す
ることができる。
【0027】図4のリアクタによって行われるRFスパ
ッタリングは、プラズマが周辺環状領域76に集中して
しまうという傾向を持っており、ウエハ40の中心には
プラズマが少なくなるという問題がある。このことによ
り、ウエハの中心部においてはRFスパッタエッチング
の速さが低くなる。したがって、図4のリアクタはCV
Dを非常に有利に実行する一方、エッチングと堆積を同
程度の速さをもって同じCVDスパッタエッチプロセス
を実行するには有用ではないし、又酸素化学(oxyg
en chemistry)を使ってスパッタエッチン
グプロセスを実行する為にもあまり有用ではない。しか
しながら、この実施例はウエハ表面上のプラズマ(イオ
ン)密度の一様性にある程度の妥協が許されるような場
合には、誘導結合あるいは誘導的に励起されるCVD堆
積プロセスにとって有用である。
【0028】プラズマの周辺環状領域76への集中の問
題を解決する為の一つの手法は、図5に図示されている
ように天井44を約4インチ(10センチメ−タ)ある
いはそれ以上高くすることである。これはプラズマ分布
の一様性を改善はするが、チャンバの容量及びウエハま
での拡散距離を増加させるので、小容量チャンバの利点
を殺してしまう。したがって、このアプロ−チによって
更に得られるものは何もないであろう。
【0029】高い(1−30ミリト−ル)真空に於ける
酸素イオンの非一様な分布の一見手に負えない問題は、
図6の実施例によって解決される。その解決方法は、コ
イル及びチャンバ壁に隣接した全体のプラズマソ−ス領
域からウエハの全ての部分がより等距離になるような態
様で、ウエハの上方のコイルに巻かれたRFアンテナを
形成することである。結果として、イオン状及び原子状
の酸素の流れがウエハ全体に渡って更に一様となり、そ
の為角部16(図1(a))の上のエッチング速さがウ
エハ全体に渡って一様になる。図6に示されるように、
このことは、ウエハ82の上にあり且つウエハに関して
中心に置かれたド−ム形状にコイルアンテ80を形成
し、同時に真空チャンバそれ自身の天井84を同様なド
−ム形状に形成しそれがアンテナ80を支えることが出
来るようにすることによって達成される。前と同様に、
アンテナ80はコイル状に巻かれた導線である。
【0030】図6のコイル状に巻かれたアンテナ80と
ド−ム形状の天井84によって促進される利点は、イオ
ンが最高に集中している天井84に隣接した領域が、ウ
エハ82の表面部分上方に広がっており、そのためウエ
ハ中心への行程長さ(path length)を低減
し、それによってウエハ中心に於ける酸素イオン密度を
増加することである。対照的に、図2の装置に於いて
は、イオンが最高に集中している領域は一般的に垂直に
広がっており、それ故ウエハのどの部分の上にも存在し
ているというわけではなく、又ウエハの縁部にのみ最も
近くなっている。
【0031】誘導結合を助ける為に、ド−ム形状のコイ
ル巻きされたアンテナ80はまっすぐな垂直円筒形部分
80Aを含む。ここでその円筒部分は、図2の単純(s
imple)垂直ソレノイドアンテナコイル22に対応
しており、誘導結合プラズマと関連した、図2の磁場線
25のような閉じた磁場線を提供している。ド−ム形状
のコイル巻きされたアンテナ80の湾曲した部分80B
は、上で説明したような特徴に従って、最高イオン密度
の領域をウエハ中心に近い所に持って行く。湾曲した部
分80Bは、好ましくは円筒形部分80Aの直径の20
%より大きい軸方向長さを有する。例えば、好ましい実
施例に於いては、コイル状に巻かれたアンテナ80の全
体的縦方向長さは約9センチメ−タであり、それはコイ
ル直径あるいは円筒形部分80Aの直径の20%より大
きい。
【0032】アンテナ80を水平面に投影すると、中心
に空所を有する渦巻になっている。その中心部の空所
は、好ましくは、約14インチ(35cm)よりも僅か
に小さい直径を有するチャンバの中の8インチのウエハ
に対しては、2から8インチ(50から200mm)の
直径dを有する。即ち、その空所は好ましくはウエハ直
径の25%から100%である。この空所は、磁場が漏
斗状に狭まりそこを通り抜けるのを可能にし、容量結合
を抑制するのに好ましいものであり、又RFエネルギ−
のプラズマへの誘導結合を促進し、それにより低い電子
エネルギ−及び誘導結合プラズマの高いプラズマイオン
密度特性を維持する。
【0033】コイル状に巻かれたアンテナ80の二つの
部分80A、80Bの各々における巻線数は、コイル巻
線の間隔、ド−ム天井84の形状(その垂直部分の高さ
Hvを含む)及び空所の直径dによって定まる。好まし
いコイル間隔は、1/4インチと3/8インチ(0.6
3cmと0.94cm)の間の値である。又は、コイル
間隔は、導線幅のオ−ダ又はそれより小さくてもよい。
空所の直径dは、前節で定めたように、ウエハ直径の2
5%と100%との間にある。現在使用されているド−
ム形天井84の滑らかな凸形状は、ゼネラルエレクトリ
ック(GE)社の溶かされた石英るつぼ(crucib
le)510型、ゼネラルエレクトリック部品番号14
111F、のド−ム形の床(dome−shaped
floor)の使用によって定まる。ここで石英天井層
84Aの主ド−ム半径R1 は15インチ(37.5c
m)、コ−ナ−半径R2 は35インチ(8.75c
m)、外側直径は14インチ(35cm)である。GE
るつぼの長い垂直円筒形部分の殆どは除去され、約1/
4インチ(0.63cm)の短くされた垂直高さHvを
残す。ウエハの表面とド−ム状の天井84の底(外側縁
部)との間の距離は約1.5インチ(3.75cm)で
あり、一方ウエハの表面とド−ム形天井の頂部(頂点)
との間の距離は4.9インチ(12.25cm)であ
る。好ましくは、ウエハ高さはアンテナ80の一番下の
コイルよりも下に置く。
【0034】熟練者はウエハのサイズとド−ムの高さが
与えられれば、ウエハ表面全体に渡ってRFエネルギ−
の最高の一様性を得るのに望ましいように、コイル状に
巻かれたアンテナ80のド−ム形状あるいは球面形状を
調整することができ、そのようにして本発明は異なるド
−ム形状をもって実行することが出来る。一般に、ド−
ム形状は回転シェル形状を持っており、その形状は外部
の大気圧によって引き起こされる機械的な応力に耐える
ド−ムの能力を最大にする。この形状は、真空と大気と
の間に最大の機械的強度を提供する。以上説明した形状
は、半径が異なる複数の区画を有する形状を持った特別
な場合であり、ここでその複数の区画は滑らかに接合し
ている、即ち区画間の接合部及び円筒部分との接合部に
おいて当初導関数(first derivative
s)が等しい。実際に、その曲率はド−ムの頂部から円
筒部にまで連続的に増加してもよい。その他の滑らかに
変化する形状を使うことができるが、円錐形状は不利で
ある。何故ならば機械強度が小さいし、円筒部分との角
張った接合部において電磁場が歪むからである。
【0035】ド−ムの高さはウエハの直径の半分よりも
大きく又その二倍よりも大きくないのが好ましく、又ウ
エハ直径にほぼ等しいのが好ましい。熟練者はウエハ表
面全体に渡ってRFエネルギ−の一様性を得る為に、ド
−ム状の高さ或いは位置の関数として、コイル状に巻か
れたアンテナ80の隣合った導線間の最適な間隔(上で
開示したものとは異なる)を容易に定めることができ
る。上で引用した特許出願に開示されているように、ア
ンテナ80の導線長さは、プラズマを発生する為に使用
されるRF信号の波長の1/4である。ここで好ましい
実施例に於いては、アンテナ導線の長さは約7から11
フィ−ト(2.1mから3.4m)である。1992年
11月12日にコリンズ(Collins)他によって
出願され本出願の譲受人に譲渡された米国特許出願第0
7/975,355号に開示された型のRF発生及び同
調回路がアンテナ80に接続され、所望のRF周波数に
従ってアンテナのインピ−ダンスを調整する為に使用す
ることができる。
【0036】図6の実施例の詳細構造は殆ど、上で引用
した特許出願の開示に対応している。この好ましい実施
例に於いては、内部層84Aは上で説明したGE石英る
つぼであり、それはチャンバ内側の高いプラズマ温度に
耐えることができる。外部の冷却層84B(コイルアン
テナ80を含む)は、アルミナのような誘電性の温度伝
導性のある材料から成っている。勿論他の材料特に誘電
材料で置き換えてもよい。
【0037】容量結合を抑制する為に、図7に示される
ような「イ−スタ−の卵」形状を有する接地されたファ
ラデ−(Faraday)シ−ルド85を、広く知られ
た技術に従って、アンテナ80とプラズマとの間にある
ド−ム層84A、84Bの間に置いてもよい。そのファ
ラデ−シ−ルドはこのようにして、天井84とアンテナ
80のド−ム形状に適合するようになっており、直径d
の空所を含んでいる。シ−ルド85内のア−チ形を成す
細片である各導電性の膜の幅Wは約1cmであり、それ
らの間の間隔Sは約0.1cmである。図に示されるよ
うに、その細片は底部において一つのリングによって接
合されているがその頂部に於いては浮動している。ウエ
ハ82を支えているRFバイアス電極74はRF電源9
0に接続されており、一方円錐形でらせん形のアンテナ
80の一端はRF電源92に接続されている。チャンバ
の側壁102はア−ス(ground)に接続されてい
る。テストされた実施例においては、RF電源90及び
92は1.8MHz及び2.0MHzの周波数を有して
いたが、13.56MHzの産業標準周波数(indu
stry standard frequency)を
使用することが期待される。kHzからMHzの範囲の
他の周波数も又使用することができる。しかしながら、
20MHzより高い周波数は欠陥をもたらすことが観察
されているし、400kHzより低い周波数ではプラズ
マが衝突しにくくなる。RF電源92からアンテナ80
に印加されるRFパワ−は、1000から3000ワッ
トの範囲にあるのが好ましく、一方RF電源90からバ
イアス電極に印加されるRFパワ−は500から200
0ワットの範囲にある。冷却ジャケット94を通して冷
却剤が供給される。
【0038】ガス(シラン(silane))をウエハ
80の上により多くそしてチャンバ壁の上により少なく
集中させるという問題は、図6の実施例にあるように、
真空チャンバの側壁102中のガスマニホ−ルド100
に接続された入口チュ−ブ98からガス(例えばシラ
ン)を供給されるガスリングマニホ−ルド(gas r
ing manifold)96によって解決される。
そのリングはウエハ80の周辺を囲んでいるが、ウエハ
80の上に位置して(overlie)はいない。リン
グマニホ−ルド96の利点は、チャンバの内部に向かっ
て開口している無数のスプレ−穴104があることであ
り、その開口はウエハ80の上方のプラズマを妨げるこ
となくウエハ80の非常に近くにシランガスを放出す
る。
【0039】しかしながらこのリングマニホ−ルド96
はプラズマ上に、少なくともウエハ周辺近傍において、
ある有害な効果を有しており、又加熱されやすい。リン
グマニホ−ルド96の加熱は、その中を流れるシランガ
スの崩壊から生じるその内部に生じる非晶質シリコン残
留物の形成による破損を起こしやすくする。マニホ−ル
ド96は、高いRFパワ−レベルに於いて500℃もの
高温に達し得る。
【0040】この加熱の問題は図8の好ましい実施例に
於いて解決されており、その実施例は少なくとも4個の
(及び8或いは12或いはもっと多くの)円周方向に周
期的に間隔を置いて設けられた半径方向内側に向けられ
たガス供給ノズル106を使用しており、その各々は円
筒形チャンバ側壁102を貫通してその中のガスマニホ
−ルド100に接続されている。その利点は、チャンバ
壁102がノズル106に対してヒ−トシンク(hea
t sink)となっていることであり、その外表面は
冷たい外部環境に面しており、ノズル106を十分低い
温度に保持し、その温度でシランが崩壊し非晶質シリコ
ンを形成するようになっている。図8の実施例のもう一
つの利点は、そのノズルがプラズマに対し、図6のリン
グマニホ−ルド96よりもずっと小さな断面を提供し、
それ故プラズマ密度の損失が少ししか起らないというこ
とである。上で引用した特許出願に開示された型のガス
分配装置は、真空チャンバに酸素、アルゴン或いは他の
ガスを導入するのに十分であるのに対し、上記ノズル1
06はシランを導入する。
【0041】プラズマとウエハとの間のインピ−ダンス
を最小限にする為に、図8のノズル106は、図6のリ
ングマニホ−ルド96と同様に、ウエハ80に近くしか
しその上には行かないにように延びている。
【0042】更にウエハ80に向けたシランガスの好ま
しい分配は、ノズル106の出口ポ−トを通して超音速
ガス流れを維持することによって達成される。これは、
非常に小さい(好ましくは10ミル(mils)オ−ダ
−の)ノズルオリフィスを使用することによって、又与
えられたガス流れと与えられたノズル数に対して、ノズ
ル106の内側と外側との間の差圧を大きく維持するこ
とによって達成される。図示のように、そのノズル先端
部は半径方向内方に急なテ−パ−を付けられた内側部分
と半径方向外方に緩いテ−パ−を付けられた外側部分と
を有する。オリフィスの内側のサファイアのスリ−ブに
より、詰まり(clogging)を防止している。
【0043】そのような差圧は、ノズルオリフィスに関
して、チャンバ内に維持されている真空によって認識さ
れる。典型的には、全ノズルを通した全流量は、30か
ら120sccs(standard cubic c
entimeters per second)の範囲
内にある。このような超音速ガス流れは、(図9に示さ
れるように)ウエハ外周から内向きの、好ましくは数
(a few)センチメ−タだけ内側にあるマッハ(M
ach)ディスク110によって特徴づけられている。
マッハディスク110は想像上の(imaginar
y)境界であり、シランはその後に戻ってノズル106
に直接向かうように拡散はしない。その結果は、シラン
ガスの拡散の有効中心112が、ノズルの先端部よりも
むしろウエハの周辺から内側に数(several)セ
ンチメ−タ(一実施例では約3cm)のところにあるこ
とであり、これは重要な利点である。チャンバ内の真空
圧が約30ミリト−ル(milliTorr)より低い
ならば、拡散中心112からのシランの分布はウエハ表
面に渡って一様である。
【0044】超音速ガス流れは又、ガスマニホ−ルド9
6の内側と真空チャンバの内側との間に十分な差圧を維
持するという同じ工程によって、図6のリングマニホ−
ルド96内でも達成することができる。そのような超音
速ガス流れによれば、リングマニホ−ルド96は熱誘導
型プラズマに近くならないようにリングマニホ−ルド9
6を拡大してもよい。
【0045】好ましいノズル106の側断面図が図9に
示されている。ノズル先端部の形状は、そのノズル自身
への堆積を最小限にする為に重要である。8インチウエ
ハ表面に渡って堆積及びスパッタエッチング速さの3%
均一性を達成する為に、好ましくは8個より多い周期的
に配置されたノズル106が図8の実施例に於いて使用
されている。
【0046】どのようなCVD薄膜堆積に於いても、図
6及び図8の実施例のプラズマリアクタが役に立つ。上
に述べたようなノズルガス分配は、シランのような反応
性の高い化学先駆種(chemical precur
sor species)を使って行う膜のどのような
堆積に対しても特に有用である。このリアクタは、二酸
化珪素以外の、例えばダイヤモンドのような、膜に対し
て使用することができる。更に高誘電定数(high
dielectric constant)を有する材
料の堆積が、この実施例によって同様に実行することが
できる。
【0047】図10は、シランノズルがどのようにし
て、前に図4と関係づけて説明したような円筒形アンテ
ナ設計と組合せることができるかを示している。図2、
図4及び図5の実施例にあるように、図10の実施例に
あるチャンバ壁は、金属製の天井44も含めて、電気的
に接地されている。本発明のノズルは、RF及びDC容
量結合リアクタを含む如何なるプラズマリアクタに於い
ても有利に使用することができる。
【0048】図10の実施例は、図10の平坦な導電性
天井44を図11に示されるようなド−ム形状の導電性
天井116と置き換えることによって改善することがで
きる。図11のド−ム形状の導電性天井116の形状は
一般的に、図6及び図8の好ましい実施例のド−ム形状
の誘電性の天井に対応しており、ウエハ中心上のイオン
濃度を更に一様に分布させる際に同様な利益を提供す
る。特に、ド−ム形状の導電性天井116は、プラズマ
に対して電気的接地基準面(electrical g
round reference plane)を提供
し、それはスパッタエッチング速さを高める。ド−ム形
の天井116によって提供される接地面は、ウエハ縁部
に於けるよりもウエハ中心部に於いてウエハ表面からよ
り離れており、イオン拡散が非均一性をならすようによ
り多くの容積を提供することによってウエハ中心近傍の
イオン密度を高めており、それによりウエハ表面上のイ
オン密度の均一性を増している。好ましくは、図11の
ド−ム形導電性天井116は10.42インチ(26.
05cm)の主半径R1 を有し、12.25インチ(3
0.6cm)の水平方向直径Dを有する。ド−ム型天井
116は、垂直真空チャンバ壁114の上に載っている
肩部116Aによって支えられており、このことにより
ド−ム形天井116の頂部は、図6の実施例と同様に、
ウエハの上方約5インチ(12.5cm)まで立ち上が
っている。図10の実施例に於けるように、ド−ム形導
電性天井116は電気的に接地されている。図11の実
施例の一つの利点は、図6及び図8の実施例で得られた
均一性と同様な均一性をウエハ表面に渡って有するスパ
ッタエッチングプロセスが、図4及び図5の実施例で使
用されている円筒形RFアンテナコイル形態を用いるこ
とによって得ることができることである。
【0049】図11の実施例の付加的利益は、導電性の
天井116がRF電源118に接続でき、またスイッチ
120によってア−スから切り離すことができ、従来か
らあるフッ素エッチング清掃プロセスを使って真空チャ
ンバの清掃を容易に行うことができるようになることで
ある。イン・シチュー・チャンバ清掃(in−situ
chamber clean)の間、バイアスRF場
により導電性の天井116に動力を供給することによ
り、チャンバ壁及び天井116から汚染物質を除去する
際の清掃プロセスの清掃速さ及び効率が高まる。
【0050】図6及び図11の実施例の特徴は、図12
に図示されているように有利に組合せることができる。
頂部の中央ド−ム形電極122がスパイラルアンテナ8
0の中央の空所の中に置かれている。それはスパイラル
アンテナ80から電気的に絶縁されており、天井84の
誘電層84A、84Bの間に保持されている。その頂部
電極122は、それをRF電源に接続すると、チャンバ
の清掃の為に有利に使うことができる。清掃電極として
の操作の為には、それは台座(pedestal)の直
径よりも小さい直径とすべきである。通常のCVD操作
の間、頂部電極122は浮動状態にして置くことがで
き、接地することができ、或いはそうでなければ電気的
にコントロ−ルすることができる。
【0051】本発明の好ましい実施例は、酸素イオンの
ような陰電性(electronegative)のイ
オンを使ったスパッタエッチングに関して以上説明した
が、フッ素のような他の種(species)を使って
もよい。塩素がスパッタエッチングの為に使われる時に
は、チャンバ壁用として、塩素イオンからの損傷を避け
る為に、アルミニウム以外の材料を代わりに使うことが
できる。
【0052】本発明は誘導結合RFプラズマを使ったC
VDプロセス及び酸素スパッタリングに対して特に有用
であるが、それに限らない。このド−ム形コイルは、エ
ッチングプロセスに応用することができ、又例えばハロ
ゲンを含んだフロロカ−ボン(fluorocarbo
ns)のような他のプロセスガスを使ったプロセスに応
用することができる。本発明のノズルは、CVDであろ
うがエッチングであろうが或いは物理蒸着であろうが如
何なる型のプラズマプロセスチャンバにも応用すること
ができる。
【0053】ここまで本発明は、特に好ましい実施例に
関して詳細に説明したが、その変形や変更が、本発明の
真の精神及び範囲から離れることなく作ることができる
ことを理解すべきである。
【0054】
【発明の効果】本発明は、ウエハを処理する為の真空チ
ャンバと、そのチャンバ中に反応性ガスを導入する為の
1以上のガス源と、そのチャンバ内で誘導結合によりプ
ラズマを発生する為にそのチャンバ中にRFエネルギ−
を放射する能力があり、且つ二次元的に湾曲した面内に
置かれているアンテナとを備える、RF誘導結合(in
ductively coupled)プラズマリアク
タを提供し、スパッタリングと堆積の速さを適切な比率
にする。
【図面の簡単な説明】
【図1】(a)は、比較的高いアスペクト比を有する超
小型電子技術の造作に材料を堆積する際に遭遇する問題
を図に示した単純化された線図であり、(b)は、表面
角度のスパッタエッチング速さに与える影響を図示した
グラフであり、(c)は、図1(a)に対応する単純化
した線図であり、CVDとスパッタエッチングプロセス
を同時に使うことによって達成される望ましい堆積パタ
−ンを示した図である。
【図2】関連した出願に開示されたCVD−RFプラズ
マエッチング装置の単純化した線図である。
【図3】図2の装置の特性であり、ウエハ中心からの距
離がアルゴン及び酸素に対するイオン密度に与える影響
を図示したグラフである。
【図4】シャワ−ヘッドガス分配装置を使用した本発明
の第一の実施例の断面立面図である。
【図5】シャワ−ヘッドガス分配装置を使用した本発明
の第二の実施例の断面立面図である。
【図6】オ−バ−ヘッドド−ム形RFアンテナ及びリン
グガス分配装置を使用した本発明の第三の実施例の断面
立面図である。
【図7】図6の実施例のド−ム形天井の部分に対応し、
その中に使用するのが好ましいファラデ−シ−ルドを図
示した斜視図である。
【図8】オ−バ−ヘッドド−ム形RFアンテナ及び多数
ノズルガス分配装置を使用した本発明の第四の実施例の
断面立面図である。
【図9】図7の実施例で使用される好ましいノズル形状
の断面側面図である。
【図10】円筒形アンテナ、平坦天井及び多数ノズルガ
ス分配装置を使用した本発明の第五の実施例の断面立面
図である。
【図11】円筒形アンテナ、多数ノズルガス分配装置及
びド−ム形導電性天井を使用した本発明の第六の実施例
の断面立面図である。
【図12】図6及び図11の実施例の特徴の組合せに対
応する本発明の第七の実施例の断面立面図である。
【符号の説明】
10…誘電性材料、12…チャンネル、14…金属で描
いた線、15…空所、16…角部、20…真空チャン
バ、22…RFアンテナ、24…円筒垂直側壁、26…
天井、28…ウエハ、30…ガス出口、40…ウエハ、
42、43…チャンバ内壁、44…天井、46…ウエ
ハ、48…シャワーヘッド、49…RFアンテナ、50
…円形底壁、51…噴霧穴、52…円筒形壁、54…円
形肩部、56…中間壁、62…円形頂部壁、64…マニ
ホールド、66、68…外部ガス入口、72…磁石、7
4…RF電極、76…周辺環状領域、80…アンテナ、
82…ウエハ、84…ドーム天井、85…シールド、9
0、92…RF電源、96…リングマニホールド、10
0…ガスマニホールド、102…チャンバ側壁、104
…スプレー穴、106…ノズル、110…マッハディス
ク、112…拡散の有効中心、116…天井、118…
RF電源、120…スイッチ、122…頂部電極。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/3065 (72)発明者 ロムアルド ノアク アメリカ合衆国, カリフォルニア州 95014, キュパティノ, メドウ プレ イス 10412

Claims (36)

    【特許請求の範囲】
  1. 【請求項1】 真空チャンバを含むRF誘導結合プラズ
    マリアクタであって、 前記チャンバ中に反応性(reactant)ガスを導
    入するための1以上のガス源と、 前記チャンバ内で誘導結合によりプラズマを発生するた
    めに、該チャンバ中にRFエネルギーを放射する能力が
    あり、且つ2次元的に湾曲した面内に置かれているアン
    テナとを備える、 リアクタ。
  2. 【請求項2】 前記アンテナがドーム形の形態を有し、
    前記湾曲した面が、ウエハの上面に対向し且つ該ウエハ
    上面に関して対称的に配設された凹面である、請求項1
    記載のリアクタ。
  3. 【請求項3】 前記アンテナが、下方にある(unde
    rlying)垂直円筒部分と、該垂直円筒部分と滑ら
    かに接合する上方にある(overlying)ドーム
    形の部分とを備える、請求項2記載のリアクタ。
  4. 【請求項4】 前記垂直円筒部分が、該垂直円筒部分の
    直径の20%以上の軸方向高さを有する、請求項3記載
    のリアクタ。
  5. 【請求項5】 前記真空チャンバが、前記垂直円筒部分
    と前記アンテナのドーム形部分の形状にそれぞれ対応し
    ている、垂直円筒部分と上方にあるドーム形部分とを備
    える天井を備え、且つ前記アンテナが、前記天井内に配
    設された導線であって前記天井の対称回転軸を中心とし
    て(around)巻かれた伸長した導線を備える、請
    求項4記載のリアクタ。
  6. 【請求項6】 前記天井が、前記天井の頂部から減少す
    る曲率半径を有し且つお互いに滑らかに接合する複数の
    部分を備える、請求項5記載のリアクタ。
  7. 【請求項7】 前記アンテナが、前記湾曲した面の頂点
    に中心を置く円形の空所(void)を有する、請求項
    3記載のリアクタ。
  8. 【請求項8】 前記空所の直径が、ほぼ(on the
    order of)前記ウエハの直径の25%と10
    0%との間の値である、請求項7記載のリアクタ。
  9. 【請求項9】 前記空所中にドーム形の電極をさらに備
    え、該ドーム形の電極が電源に接続可能である、請求項
    7記載のリアクタ。
  10. 【請求項10】 前記ドーム形の電極が、前記チャンバ
    の清掃(cleaning)中にRF電源に接続可能で
    ある、請求項9記載のリアクタ。
  11. 【請求項11】 前記巻かれた導線が、前記アンテナに
    適用されたRF信号の1/4波長に対応する長さを有す
    る、請求項3記載のリアクタ。
  12. 【請求項12】 前記RF信号が、400kHzと20
    MHzとの間のRF周波数を有する、請求項11記載の
    リアクタ。
  13. 【請求項13】 前記反応性ガスの少なくとも一つが、
    (a)スパッタエッチング(sputter etch
    ing)のための前記プラズマへのイオン及び(b)化
    学蒸着のための化学的種(chemical spec
    ies)、の双方に寄与し、且つ前記ウエハ上のプラズ
    マイオン密度が、前記スパッタエッチングの速さが前記
    化学蒸着の速さと少なくとも同程度となるに充分な密度
    である、請求項1記載のリアクタ。
  14. 【請求項14】 少なくとも一つのガス源が、前記真空
    チャンバの真空封じ込め(vacuum contai
    nment)壁の中に置かれ且つその壁に熱的に結合さ
    れ、又前記ウエハの縁部近くにある各ノズル先端部をも
    って前記ウエハに向かって延びている、複数の伸延スプ
    レーノズルを備えている、請求項1記載のリアクタ。
  15. 【請求項15】 前記ノズルからのガス流が超音速であ
    る、請求項14記載のリアクタ。
  16. 【請求項16】 少なくとも一つのガス源が、前記真空
    チャンバの内側で、前記ウエハに関して対称に配設さ
    れ、該ウエハの縁の輪郭に沿っており、該ウエハの実質
    的部分の上方に存在することがないようになっている、
    閉じたチューブを備えており、その閉じたチューブが、
    その中に、前記ウエハの上方にある前記真空チャンバの
    内部部分に対向している複数のスプレー開口を有する、
    請求項1記載のリアクタ。
  17. 【請求項17】 真空チャンバ内にウエハを保持するた
    めの手段を含むプラズマリアクタであって、 前記チャンバの中で前記ウエハの上方にある部分内にプ
    ラズマを発生するために、該チャンバ中にRFエネルギ
    ーを放射する能力があるアンテナと、 前記チャンバの中で前記ウエハの上方にある前記部分に
    向けて超音速で反応性ガスを噴霧する(spray)た
    めの手段とを備える、 リアクタ。
  18. 【請求項18】 超音速で噴霧するための前記手段が、
    複数の伸延した超音速スプレー(spray)ノズルを
    備える、請求項17記載のリアクタ。
  19. 【請求項19】 前記ノズルの各々が、半径方向内方に
    向けて鋭くテーパのついた内側部分と、半径方向外方に
    向けて徐々にテーパのついた外側部分とを有する、請求
    項18記載のリアクタ。
  20. 【請求項20】 前記ノズルが、前記ウエハの縁部に至
    るが、しかしそれより上方にはないガス分配入口オリフ
    ィスを有する、それぞれのノズル先端部をもって、前記
    ウエハに向けて延びている、請求項18記載のリアク
    タ。
  21. 【請求項21】 前記スプレーノズルが、前記真空チャ
    ンバの真空封じ込め壁に熱的に結合さている、請求項2
    0記載のリアクタ。
  22. 【請求項22】 各ノズルからの超音速ガス流が、ノズ
    ル先端部から前記ウエハの上方の位置に向けて置換され
    た効果的ガス拡散中心を形成する、請求項20記載のリ
    アクタ。
  23. 【請求項23】 前記オリフィスが、各々、ほぼ(on
    the order of)10ミル(mils)の
    サイズを有し、前記チャンバが1と30ミリトール(m
    illiTorr)の間の真空を有する、請求項20記
    載のリアクタ。
  24. 【請求項24】 真空チャンバ内にウエハを保持するた
    めの手段を含むプラズマリアクタであって、 前記チャンバの中で前記ウエハの上方にある部分内にプ
    ラズマを発生するために、該チャンバ中にRFエネルギ
    ーを放射する能力があるアンテナと、 前記真空チャンバの真空封じ込め壁に熱的に結合され、
    又前記ウエハの縁部の少なくともほぼ(nearly)
    上方にあるガス分配入口オリフィスを有するそれぞれの
    ノズル先端部をもって前記ウエハに向かって延びてい
    る、複数の伸延スプレーノズルとを備える、 リアクタ。
  25. 【請求項25】 真空チャンバ内にウエハを保持するた
    めの手段を含むプラズマリアクタであって、 前記チャンバの中で前記ウエハの上方にある部分内にプ
    ラズマを発生するために、該チャンバ中にRFエネルギ
    ーを放射する能力があるアンテナと、 前記チャンバの内側にあり、前記チャンバの中で前記ウ
    エハの上方にある部分内に反応性ガスを噴霧するための
    ものであり、前記ウエハに関して対称に配設され、該ウ
    エハの縁の輪郭に沿っており、該ウエハの実質的部分の
    上方に存在することがないようになっている、閉じたチ
    ューブとを備えており、その閉じたチューブが、その中
    に、前記ウエハの上方にある前記真空チャンバの内部部
    分に対向している複数のスプレー開口を有している、 リアクタ。
  26. 【請求項26】 真空チャンバ内にウエハを保持するた
    めの手段を含むプラズマリアクタであって、 前記チャンバの中で前記ウエハの上方にある部分内にプ
    ラズマを発生するために、該チャンバ中にRFエネルギ
    ーを放射する能力があるアンテナと、 前記チャンバの中で前記ウエハの上方にある前記部分内
    に反応性を噴霧するための平面スプレーシャワーヘッド
    (planar spray showerhead)
    とを備え、該平面スプレーシャワーヘッドが前記真空チ
    ャンバの中の前記ウエハの上方の(over)内部部分
    の上方にあり(overlying)、且つ前記ウエハ
    に対向する複数のスプレーノズル開口を有し、 さらに前記複数のノズル開口の隣接する開口の間であっ
    て、前記平面スプレーノズルの内部部分にある複数の磁
    石とを備え、該複数の磁石が前記スプレーノズル開口か
    らイオンをはね返すような方向に向けられている、 リアクタ。
  27. 【請求項27】 前記ノズル開口が、前記ウエハから、
    ウエハの直径の約25%から50%の範囲に位置してい
    る、請求項26記載のリアクタ。
  28. 【請求項28】 プロセスガスを収容するための真空チ
    ャンバ内にウエハを保持するための手段を含むプラズマ
    リアクタであって、 プラズマを発生するために前記チャンバ中にRFエネル
    ギーを放射する能力があるアンテナと、 前記ウエハの上方にあり、且つ電位(electric
    al potential)に接続可能である、ドーム
    形の導電性の電極とを備える、 リアクタ。
  29. 【請求項29】 前記ドーム形の電極が、前記チャンバ
    の天井を備え、且つプロセス中アース(ground)
    に接続されている、請求項28記載のリアクタ。
  30. 【請求項30】 前記チャンバの清掃中、前記ドーム形
    の電極をRFパワー源に接続するための手段をさらに備
    える、請求項29記載のリアクタ。
  31. 【請求項31】 真空プロセスチャンバであって、その
    片側にドーム形のアンテナを有し、又処理すべき(to
    be processed)被加工物を保持する、真
    空プロセスチャンバを用意する工程と、 前記プロセスチャンバ中に、陰電性の(electro
    negative)ガスを含むプロセスガスを供給する
    工程と、 RF電気信号を前記アンテナに共振的に(resona
    ntly)結合する工程と、 前記アンテナからの電磁エネルギーを、前記プロセスガ
    スから前記プロセスチャンバ内に形成されたプラズマ中
    に、非共振的(non−resonantly)且つ誘
    導的に(inductively)結合する工程であっ
    て、それによって前記被加工物が前記プラズマによって
    処理される工程とを含む、 プラズマプロセス。
  32. 【請求項32】 前記陰電性ガスが酸素ガスを含む、請
    求項31記載のプラズマプロセス。
  33. 【請求項33】 前記陰電性ガスがハロゲン(halo
    gen)を含む、請求項31記載のプラズマプロセス。
  34. 【請求項34】 前記プロセスガスがさらに酸化珪素
    (silicon oxide)のための先駆(pre
    cursor)ガスを含む、請求項32記載のプラズマ
    プロセス。
  35. 【請求項35】 前記RF電気信号が、400kHzか
    ら20MHzの範囲内の周波数を有する、請求項31記
    載のプラズマプロセス。
  36. 【請求項36】 前記チャンバ内の前記プロセスガスの
    圧力が、1から30ミリトール(milliTorr)
    の範囲内にある、請求項35記載のプラズマプロセス。
JP20363394A 1993-08-27 1994-08-29 高密度プラズマcvd及びエッチングリアクタ Expired - Lifetime JP3691528B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/113,776 US5614055A (en) 1993-08-27 1993-08-27 High density plasma CVD and etching reactor
US08/113776 1993-08-27

Publications (2)

Publication Number Publication Date
JPH07169703A true JPH07169703A (ja) 1995-07-04
JP3691528B2 JP3691528B2 (ja) 2005-09-07

Family

ID=22351456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20363394A Expired - Lifetime JP3691528B2 (ja) 1993-08-27 1994-08-29 高密度プラズマcvd及びエッチングリアクタ

Country Status (5)

Country Link
US (2) US5614055A (ja)
EP (2) EP0641013B1 (ja)
JP (1) JP3691528B2 (ja)
KR (1) KR100201121B1 (ja)
DE (1) DE69425203T2 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07211702A (ja) * 1994-01-19 1995-08-11 Nec Corp 半導体製造装置
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
JPH09245993A (ja) * 1996-03-04 1997-09-19 Anelva Corp プラズマ処理装置及びアンテナの製造方法
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
KR100553483B1 (ko) * 1997-01-02 2006-04-21 어플라이드 머티어리얼스, 인코포레이티드 하이브리드컨덕터및다중반경돔실링을갖는rf플라즈마반응기
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP2007335510A (ja) * 2006-06-13 2007-12-27 Hokuriku Seikei Kogyo Kk シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
KR100855617B1 (ko) * 2001-02-08 2008-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2009544168A (ja) * 2006-07-18 2009-12-10 ラム リサーチ コーポレーション 多重高周波電源を用いるハイブリッド・ラジオ周波数容量誘導結合プラズマ源とその使用方法
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP2012134453A (ja) * 2010-11-30 2012-07-12 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
WO2013122058A1 (ja) * 2012-02-14 2013-08-22 東京エレクトロン株式会社 蒸着ヘッド及び蒸着装置
JP2014072454A (ja) * 2012-09-28 2014-04-21 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置および積層体の製造方法

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
KR100197649B1 (ko) * 1995-09-29 1999-06-15 김영환 박막 증착장치
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JP4195734B2 (ja) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド 集積回路のトレンチ分離製作方法
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5965218A (en) * 1997-03-18 1999-10-12 Vlsi Technology, Inc. Process for manufacturing ultra-sharp atomic force microscope (AFM) and scanning tunneling microscope (STM) tips
EP0887847A1 (en) 1997-04-15 1998-12-30 STMicroelectronics S.r.l. Process of final passivation of integrated circuit devices
EP0872879A1 (en) * 1997-04-15 1998-10-21 STMicroelectronics S.r.l. Process of final passivation of an integrated circuit device
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
WO1999010913A1 (en) 1997-08-26 1999-03-04 Applied Materials, Inc. An apparatus and method for allowing a stable power transmission into a plasma processing chamber
US6565717B1 (en) * 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6022749A (en) 1998-02-25 2000-02-08 Advanced Micro Devices, Inc. Using a superlattice to determine the temperature of a semiconductor fabrication process
JP2972707B1 (ja) 1998-02-26 1999-11-08 松下電子工業株式会社 プラズマエッチング装置及びプラズマエッチング方法
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
EP1073779A4 (en) * 1998-04-13 2007-05-30 Tokyo Electron Ltd IMPEDANCE CHAMBER REDUCED
US6223755B1 (en) 1998-04-23 2001-05-01 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6077386A (en) * 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6246473B1 (en) 1998-04-23 2001-06-12 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6261470B1 (en) 1998-04-23 2001-07-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6269278B1 (en) 1998-04-23 2001-07-31 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6165312A (en) * 1998-04-23 2000-12-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) * 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6123983A (en) * 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6419801B1 (en) 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6157447A (en) * 1998-04-23 2000-12-05 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6132577A (en) * 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6221679B1 (en) * 1998-04-23 2001-04-24 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6254717B1 (en) 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6169933B1 (en) 1998-04-23 2001-01-02 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6192826B1 (en) 1998-04-23 2001-02-27 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6134005A (en) * 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6275740B1 (en) 1998-04-23 2001-08-14 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6355183B1 (en) 1998-09-04 2002-03-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for plasma etching
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
KR100303446B1 (ko) * 1998-10-29 2002-10-04 삼성전자 주식회사 액정표시장치용박막트랜지스터기판의제조방법
US6324313B1 (en) * 1998-12-21 2001-11-27 Lsi Logic Corporation On-chip multiple layer vertically transitioning optical waveguide and damascene method of fabricating the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
KR20010112958A (ko) * 2000-06-15 2001-12-24 황 철 주 고밀도 플라즈마 반응기
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100408405B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 반도체 소자의 제조 장치
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
CN100403198C (zh) * 2001-05-24 2008-07-16 迅捷公司 流体流量控制器和定比率控制流体流量的方法和装置
US20040144492A1 (en) * 2001-06-01 2004-07-29 Taro Ikeda Plasma processing device
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
CN1316547C (zh) * 2001-09-14 2007-05-16 东京电子株式会社 等离子体反应器线圈磁体***
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR100501339B1 (ko) * 2001-11-02 2005-07-18 주성엔지니어링(주) 플라즈마 장치
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100453014B1 (ko) * 2001-12-26 2004-10-14 주성엔지니어링(주) Cvd 장치
US7513971B2 (en) * 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
GB0208261D0 (en) * 2002-04-10 2002-05-22 Dow Corning An atmospheric pressure plasma assembly
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040173314A1 (en) * 2003-03-05 2004-09-09 Ryoji Nishio Plasma processing apparatus and method
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
KR100634288B1 (ko) * 2003-12-01 2006-10-16 야스히로 모리 고체물질의 표면 개질방법 및 표면 개질된 고체물질
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060048707A1 (en) * 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050211544A1 (en) * 2004-03-29 2005-09-29 Seagate Technology Llc Electrical biasing of gas introduction means of plasma apparatus
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
KR100769138B1 (ko) * 2005-10-12 2007-10-22 동부일렉트로닉스 주식회사 고밀도 플라즈마 화학기상 증착 방법을 이용한 플라즈마산화막 형성장치 및 형성방법
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100858934B1 (ko) * 2007-05-04 2008-09-17 주식회사 에스에프에이 화학 기상 증착장치
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
KR101034505B1 (ko) 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8604697B2 (en) * 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
KR101796656B1 (ko) * 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2013062316A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 搬送装置及びプラズマ処理システム
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2014133465A1 (en) * 2013-02-28 2014-09-04 Nanyang Technological University A capacitively coupled electrodeless plasma apparatus and a method using capacitively coupled electrodeless plasma for processing a silicon substrate
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7061257B2 (ja) * 2017-03-17 2022-04-28 日新電機株式会社 スパッタリング装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108971140A (zh) * 2018-05-12 2018-12-11 合肥杰硕真空科技有限公司 一种射频等离子体清洗装置
CN108856170A (zh) * 2018-05-12 2018-11-23 合肥杰硕真空科技有限公司 一种中频等离子体清洗装置
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US20210291138A1 (en) * 2018-07-11 2021-09-23 Board Of Trustees Of Michigan State University Vertically oriented plasma reactor
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
CN110629174B (zh) * 2019-08-28 2021-03-02 淮阴师范学院 利用牵引式氮等离子体增强反应气氛环境制备Ti-Al-N 硬质薄膜的方法
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency
CN114446759B (zh) * 2022-01-26 2024-03-26 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61136229A (ja) * 1984-12-06 1986-06-24 Toshiba Corp ドライエツチング装置
JPH0812856B2 (ja) * 1986-01-17 1996-02-07 株式会社日立製作所 プラズマ処理方法および装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3708717A1 (de) * 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5286331A (en) * 1991-11-01 1994-02-15 International Business Machines Corporation Supersonic molecular beam etching of surfaces
JPH05146628A (ja) * 1991-11-27 1993-06-15 Ebara Corp ガス処理装置
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07211702A (ja) * 1994-01-19 1995-08-11 Nec Corp 半導体製造装置
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
JPH09245993A (ja) * 1996-03-04 1997-09-19 Anelva Corp プラズマ処理装置及びアンテナの製造方法
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
KR100553483B1 (ko) * 1997-01-02 2006-04-21 어플라이드 머티어리얼스, 인코포레이티드 하이브리드컨덕터및다중반경돔실링을갖는rf플라즈마반응기
KR100855617B1 (ko) * 2001-02-08 2008-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP2007335510A (ja) * 2006-06-13 2007-12-27 Hokuriku Seikei Kogyo Kk シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP2009544168A (ja) * 2006-07-18 2009-12-10 ラム リサーチ コーポレーション 多重高周波電源を用いるハイブリッド・ラジオ周波数容量誘導結合プラズマ源とその使用方法
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP2012134453A (ja) * 2010-11-30 2012-07-12 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
US9911580B2 (en) 2010-11-30 2018-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
US10763084B2 (en) 2010-11-30 2020-09-01 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
US11101111B2 (en) 2010-11-30 2021-08-24 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
US11948778B2 (en) 2010-11-30 2024-04-02 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
WO2013122058A1 (ja) * 2012-02-14 2013-08-22 東京エレクトロン株式会社 蒸着ヘッド及び蒸着装置
JP2014072454A (ja) * 2012-09-28 2014-04-21 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置および積層体の製造方法

Also Published As

Publication number Publication date
KR100201121B1 (ko) 1999-06-15
KR950007617A (ko) 1995-03-21
EP0641013A3 (en) 1995-07-12
EP0641013B1 (en) 2000-07-12
US5614055A (en) 1997-03-25
EP0794553A2 (en) 1997-09-10
JP3691528B2 (ja) 2005-09-07
US5976308A (en) 1999-11-02
DE69425203D1 (de) 2000-08-17
DE69425203T2 (de) 2000-11-30
EP0641013A2 (en) 1995-03-01
EP0794553A3 (en) 1998-05-20

Similar Documents

Publication Publication Date Title
JP3691528B2 (ja) 高密度プラズマcvd及びエッチングリアクタ
US6013155A (en) Gas injection system for plasma processing
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
TW548680B (en) Gas injection system and method for plasma processing
JP5364054B2 (ja) 調整可能なマルチゾーンガス噴射システム
US6042687A (en) Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
KR100300097B1 (ko) 플라즈마처리장치
US6155200A (en) ECR plasma generator and an ECR system using the generator
US6132631A (en) Anisotropic silicon nitride etching for shallow trench isolation in an high density plasma system
JP3243125B2 (ja) 処理装置
KR100377096B1 (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
KR101139821B1 (ko) 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
KR100493684B1 (ko) 고밀도플라즈마화학기상증착장치및그방법
US20050000429A1 (en) Spiral gas flow plasma reactor
JP2002118104A (ja) プラズマ処理装置
JPH1022279A (ja) 誘導結合型プラズマcvd装置
US20230317416A1 (en) Plasma showerhead with improved uniformity
JPH1197198A (ja) プラズマ処理装置及びその方法
JPH1197197A (ja) プラズマ処理装置
JP2006100838A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040119

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040811

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040816

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050616

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080624

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090624

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100624

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110624

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110624

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120624

Year of fee payment: 7

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120624

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130624

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term