JPH07166372A - 選択的金属化法 - Google Patents

選択的金属化法

Info

Publication number
JPH07166372A
JPH07166372A JP6224208A JP22420894A JPH07166372A JP H07166372 A JPH07166372 A JP H07166372A JP 6224208 A JP6224208 A JP 6224208A JP 22420894 A JP22420894 A JP 22420894A JP H07166372 A JPH07166372 A JP H07166372A
Authority
JP
Japan
Prior art keywords
substrate
metal
photoresist
layer
coated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6224208A
Other languages
English (en)
Inventor
Gary S Calabrese
ギヤリー・エス・キヤラブレーゼ
Jeffrey M Calvert
ジエフリー・エム・キヤルバート
Mu-San Chen
ム−サン・チエン
Walter J Dressick
ウオルター・ジエイ・ドレシツク
Charles S Dulcey
チヤールズ・エス・ドルシー
Jr Jacque H Georger
ジヤツク・エイチ・ジヨージヤー,ジユニア
Jr John F Bohland
ジヨン・エフ・ボーランド,ジユニア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPH07166372A publication Critical patent/JPH07166372A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1605Process or apparatus coating on selected surface areas by masking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1886Multistep pretreatment
    • C23C18/1893Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/2006Pretreatment of the material to be coated of organic surfaces, e.g. resins by other methods than those of C23C18/22 - C23C18/30
    • C23C18/2046Pretreatment of the material to be coated of organic surfaces, e.g. resins by other methods than those of C23C18/22 - C23C18/30 by chemical pretreatment
    • C23C18/2073Multistep pretreatment
    • C23C18/2086Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/42Coating with noble metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

(57)【要約】 【目的】 金属沈着を、選択的パターンで本質的にいか
なる固体基材上にも形成させる方法を提供する。 【構成】 基材を選択的パターンにパターニングする方
法であって、(a)レジストコーティングをもつ製造さ
れた基材に、そのレジストコーティング中に基材表面と
開放連通する凹所を規定するレリーフ像と、前記基材上
で無電解めっき触媒と結合し得る、前記レジストコーテ
ィングの凹所内部の結合基のフイルムとを提供するステ
ップ、(b)少なくとも前記凹所中の結合基と無電解め
っき触媒の溶液とを接触させるステップ、および、
(c)触媒化された表面上に金属を沈着して、金属沈着
を所望の選択的パターンに形成するステップを含む方
法。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、無電解金属沈着物に触
媒的に表面を結合することを使用する基材の選択的パタ
ーンでの金属化に関する。本発明に従って形成された選
択的に金属化された層は、プラズマエッチングまたは付
加的めっきによる電子デバイスの製造を含む種々の目的
に使用し得る。
【0002】
【従来の技術および発明が解決しようとする課題】電子
品製造のための選択的金属化法は当業界において公知で
ある。基材をプラズマエッチングする方法が米国特許第
5,053,318号明細書で開示されており、これは
参考として本明細書中に含めることとする。この特許の
方法によると、電子基材のような適当な基材は放射感受
性フォトレジスト組成物でコートされる。前記フォトレ
ジストコーティングは、その後パッターン像形成され
る。さらに、現像の前に、フォトレジストの表面は無電
解めっき触媒(electroless platin
g catalyst)と接触させられる。フォトレジ
ストコーティングはその後、現像剤と接触させられ、こ
れによって、コーティングの現像剤溶解性部分上に吸着
されためっき触媒は可溶化されたフォトレジストととも
に取り除かれる。めっき触媒は現像剤に不溶性のコーテ
ィング部分に残る。これによって、現像されたフォトレ
ジストコーティングに合致する像パターンで触媒コーテ
ィングが形成される。像形成された触媒コーティングは
その後、薄い金属の層を形成するために無電解めっき溶
液と接触させることによって金属化される。物品全体を
その後、プラズマエッチングにかける。前記薄い金属層
はエッチバリヤーとして働き、これによって、基材は金
属層と逆の像に変えられる。金属層を伴う残っているフ
ォトレジストコーティングはフォトレジスト剥離剤(s
tripper)と接触させることによって取り除くこ
とができる。
【0003】プリント配線板の製造のための選択的金属
化法は米国特許第5,158,860号明細書に開示さ
れており、これは参考として本明細書中に含めることと
する。この特許の方法において、基材はフォトレジスト
層でコートされる。フォトレジストはその後、レリーフ
像を形成するためにパターン像形成され、現像される。
この物品はその後、無電解めっき触媒と接触させられ
る。この触媒は、接触する全表面すなわち、フォトレジ
ストの側壁、および基礎をなす基材に吸着される。フォ
トレジストのトップ表面はその後、投光露光される。フ
ォトレジストコーティングのトップ表面上に吸着された
触媒層はその後、表面現像によって除かれる。触媒は、
活性化放射に露光されてない表面中、すなわち、フォト
レジストレリーフ像中の凹所(recesses)、お
よびむきだしの基材表面上に残る。無電解金属はその後
触媒化された表面上に沈着され得、これによってレリー
フ像の壁および基材は金属化される。連続めっきによっ
て、凹所の全容積は沈着された金属で満たされ得る。
【0004】選択的金属化への別の方法が米国特許第
5,079,600号明細書で開示されており、これは
参考として本明細書中に含めることとする。この特許に
よると、金属パスウエイは自己集積(self−ass
embled)された単分子放射反応性層の形成を含む
方法によって基材の表面上に形成される。好ましい材料
は、極性末端、反応性部分をその末端または末端近くに
有する非極性対向末端、および典型的には飽和または不
飽和炭化水素鎖から構成される中間領域によって特徴づ
けられる。有機シラン類は好ましい種類の材料である。
その後、フイルム上の末端反応性基の反応性は、光分解
または光による反応末端基の変換を引き起こすための像
形成放射への露光によって選択的パターンに変えられ
る。あるパターンに放射されるため、単分子層の反応性
は相当する像パターンに変えられる。その一実施態様に
おいて、異なった反応性によりパターン内に疎水性−親
水性領域が生成される。表面はその後、無電解めっき触
媒と接触させられる。触媒は水性ベースの物質であるの
で、単分子層の親水性部分上に選択的に吸着するであろ
う。基材はその後、無電解めっき溶液との接触によっ
て、所望の像パターンで触媒部位上のみに沈着する金属
で金属めっきされ得る。
【0005】米国特許第5,077,085号明細書の
方法の改良が欧州特許出願公開第0,510,711号
明細書で開示され、これは参考として本明細書中に含め
ることとする。この出願の好ましい方法によると、選択
的金属化方法は、触媒前駆体と結合することができる末
端基を有する基材上への層の形成のステップを含む。好
ましくは、この末端基は金属イオン結合基(bindi
ng group)または結合基(ligating
group)であり、この層は末端結合基を有する自己
集積フイルムである。上記米国特許第5,079,60
0号明細書の方法と類似の方法を使用する結合層の形成
およびその像形成の後、表面は所望の像パターンで反応
性配位基を有する領域を含む。この層はその後、パラジ
ウムイオン溶液のような触媒前駆体溶液と接触させられ
る。このイオンは結合層の結合基と結合する。適した還
元剤を含む無電解めっき触媒と結合層とのその後の接触
により、結合層上に所望のパターンで金属が選択的無電
解金属沈着される。
【0006】
【課題を解決するための手段】本発明は上記の欧州特許
出願公開第0,510,711号明細書に開示されたよ
うな結合層(ligating layers)を使用
するが、該出願で使用された方法とは異なった方法のス
テップを使用する基材上への金属フイルムの選択的沈着
のための新規の方法を提供する。
【0007】本発明の方法は、電子基材のような基材
(substrates)上に結合層を形成し、有機コ
ーティング剤特にフォトレジスト組成物で該層をコーテ
ィングし、レリーフ像すなわち基材まで開いている凹所
(recesses)を有するレリーフ像を提供するた
めにフォトレジスト層を像形成して、むきだしの基材上
の結合層をむきだしにし、基材と触媒前駆体とを接触さ
せて、所望の像パターンで触媒表面を形成するために前
駆体を露出した結合基(ligating group
s)に結合させ、金属を沈着して所望のパターンの金属
層を形成するステップを含む。
【0008】使用された触媒前駆体は結合層の結合基と
典型的には配位結合で結合するが、そのように結合しな
いときには物品の表面に吸着しないものである。これに
よって、金属沈着溶液が触媒物質に所望の像パターンの
みで接触するので選択的金属化が可能となる。選択的金
属化の後、金属化された物品は例えば金属コーティング
をエッチマスクとして使用するエッチング、または厚い
沈着を形成するための連続的金属沈着によって完成され
得る。
【0009】本発明の方法は前記EPO特許出願公開お
よび米国特許に開示された方法の改良である。その利点
として、結合層を像形成(imaging)し、線幅制
御を保つのに役立つ凹所に合致する均一な沈着物を結果
的に生じさせるフォトレジストで規定された凹所中にめ
っきするステップの回避、フォトレジスト像下の基材表
面上の導電回路の不在、およびレジスト表面上金属をめ
っきするステップの回避が挙げられる。加えて、この方
法は、フォトレジストの選択および、フォトレジストコ
ーティングの厚さを露光放射の透過性能と相関させるこ
とによって多くの異なった露光波長を許容し得る。
【0010】本発明の方法は、集積回路、マルチチップ
モジュールおよびプリント配線板のような電子デバイス
の製造に特に役立つ。この方法は、ネームプレート、レ
リーフプレート等のようなデバイスの製造にも役立つ。
説明の目的で、しかしながら限定することは意図せず
に、以下の記載は電子デバイスの製造を説明する。電子
基材と言う用語はプリント配線基板、半導体等のような
電子デバイスを製造する基材を意味する。
【0011】本発明の方法は金属沈着物を、選択的パタ
ーンで本質的にいかなる固体基材上にも形成させる方法
を提供する。この方法は特に線幅3ミル以下の高密度回
路板および線幅1ミクロン以下の集積回路の製造に役立
つ。一般化された方法は以下のステップを含み得る: (a)適切に製造された基材を提供するステップ、
(b)基材上で無電解めっき触媒と結合できる結合基を
含むフイルムを形成するステップ、(c)前記基材上に
像形成されたフォトレジストまたはe−ビームレジスト
コーティングを形成させるステップ、(d)レジストコ
ーティング中の凹所中のむき出しにされた結合基を無電
解めっき触媒溶液と接触させるステップ、(e)触媒化
された表面上に金属を沈着させて所望の選択されたパタ
ーンに金属沈着物を形成するステップ、および任意に、
(f)レジストコーティングを除き、および基材を変え
るかまたは第2金属層を第1金属層の上に形成するステ
ップ。
【0012】上記方法において、以下に記述する方法を
使用する金属化の前に結合フイルムをフォトレジストの
表面から除く場合には、ステップ(b)と(c)を逆に
してもよい。分割度の高い像を提供することができるフ
ォトレジストを使用すると、露光およびコーティング中
の像形成(ステップ(c))は基礎をなす基材上にレリ
ーフ像を提供する。像中の配線の大きさはフォトレジス
トの分割能力のみによって限定される。フォトレジスト
がサブミクロンの像すなわち、0.25ミクロン以下の
像を得るために行われ得ることが当業界で公知である。
本発明の好ましい実施態様において、特に基材のエッチ
ングのステップを使用しようとする用途において、結合
フイルムの適用に先だって、平坦(planarizi
ng)層が基材の上にコートされる。平坦層は、像の分
割度を妨害し得る基材からの表面反射を減らし、焦点限
定(focus limitations)の深さを消
失させる。さらにいえば、平坦層は結合フイルムに基材
よりもたやすく結合できる物質であり得る。平坦層とフ
ォトレジスト層との組合せは像の分割度が強められた厚
いレジスト層に好ましい。むきだしにされた結合基と無
電解めっき触媒との接触のステップ(ステップ(d))
は、例えば配位結合によって、レジストの現像によって
露出された結合基と結合する無電解めっき触媒を生じさ
せる。このようにして、前記パターンに金属化され、フ
ォトレジスト像の凹所内に制限され得る無電解めっき触
媒前駆体が選択的パターンで形成される(ステップ
(e))。
【0013】結合表面の形成 方法と材料 結合層は基材上に、各種方法のいずれか1つによって提
供され得る。めっきされ得る多くの基材表面は本来、無
電解めっき触媒と結合し得る化学基またはその前駆体を
含む。無電解めっき触媒と結合し得る典型的な化学基
は、窒素、リン、硫黄、酸素およびそれらの混合物から
選択されるドナー原子を含む。例えば、ポリビニルピロ
リドンフイルムは、触媒結合基として働くピリジン側基
のような化学基を本来含む。本明細書中で言及した通
り、ピリジル基は、パラジウム触媒に対して特に好まし
い結合基であることが分かっている。結合基としてのピ
リジル部分に関しては、Calvert ら,Inor
ganic Chemistry, 21,3978
(1982)を参照されたい。これは参考として本明細
書中に含めることとする。同様に、酸化アルミニウムを
含む基材はパラジウム触媒をアルミナのAlOおよびA
lOH基で結合し得る。さらに、より好ましくはないけ
れども、もしも十分な結合部分が基材表面において触媒
と結合するために接近しやすいならば結合物質は基材を
構成する1成分として物理的に配合してもよい。
【0014】本発明の好ましい実施態様において、本来
好適な結合基を含まない基質を使用するときには、基材
の表面は必要な結合基を該表面に付与するように改質さ
れる。基材改質法は熱分解、基材表面と化学試薬との反
応、フォトンまたはイオンの放射、気相改質、グラフト
重合、X線、核放射、およびプラズマによる酸化、また
はより一般的には基材の所望の転化に作用する任意の処
理も含む。1つの可能な改質法はポリイミド表面を加水
分解し、加水分解された表面を、β−トリメトキシシリ
ルエチル−2−ピリジンのような適した結合基を有する
シラン試薬と反応させることである。別の方法は、基材
表面にヒドロキシル基を与えるために、ポリエチレン表
面をCr2 2-溶液で化学的にエッチングすることであ
る。ヒドロキシル基はその後、結合基を含む適当な化合
物例えばピリジル結合基を含む塩化ニコチノイルと縮合
される。
【0015】本発明の最も好ましい実施態様によると、
基材を直接改質するよりもむしろ、各層が1種以上の適
した結合剤を含む1層以上のフイルム層でコーティング
されることによって、基材に結合基が与えられる。この
フイルム層は好ましくは、例えば化学的におよび/また
は物理的に基材に付着する官能基を含むことによって、
基材に強く接着される。
【0016】このような結合フイルムの結合(bond
ing)および配位(ligation)機能は単一フ
イルムの使用によってかまたは、多層フイルムを使用し
てその後の分子間結合によって行っても良い。例えば、
β−トリメトキシ−シリルエチル−2−ピリジンは配位
および基材結合官能性の両方を与える。アルコキシシラ
ン基は基材に化合物を化学的に結合する。例えば、トリ
メトキシシリル基は石英基材の表面ヒドロキシル(シラ
ノール)基と反応し、基材と直接結合するためにメタノ
ールと置換された。シリルピリジル分子のこのようにし
て結合されたピリジル部分はめっき触媒とキレートする
ための配位子(ligand)として働く。
【0017】記載のように、接着および配位機能は結合
形成を伴う多化学基または各基の間の他の結合で行い得
る。その例には、3−(トリ−メトキシシリル)プロピ
ルアミンおよびキノリン−8−スルホニルクロリドが含
まれる。アミノシランは基材吸着剤として使用される。
コーティングされた表面はその後、キノリン−8−スル
ホニルクロリドと反応し、そのSO2 Cl基が、コート
された表面のアミン基と結合してスルホンアミド結合を
形成する。このキノリン基は触媒結合部分として作用す
る。同様に、3−(トリメトキシシリル)プロピルアミ
ンを基材に適用してもよく、そしてその後、アミド結合
を形成するために4,4′−ジカルボニルクロリド−
2,2′−ビピリジンの酸塩化物基と反応させられる。
この錯体のピリジル部分は触媒結合基として働く。他の
シリルアミン類、例えば3−(トリエトキシシリル)プ
ロピルアミンも同様の方法で縮合し得る。他の方法は、
適した結合前駆体例えば3−(トリメトキシシリル)プ
ロピルアミンと、化学的にエッチングされたポリエチレ
ン基材のヒドロキシル基とを縮合することを与え、これ
はメタノール置換による酸素−ケイ素結合の形成の後
に、アミノ基はニコチノイルクロリドのような好適な結
合化合物と縮合し得る。
【0018】本発明では必要ではないけれども、放射感
受性発色団を含む結合化学基は、選択的光分解または放
射開裂(radiation ablation)が、
選択されたフイルム表面領域中の結合能力を実質的に減
らすかまたは消すために基材表面上の化学基を改質する
選択的光化学パターニングおよび金属化を与え得る。同
様に、選択的光分解がフイルム中の非配位基を配位基に
変換する結合性フイルムを使用し得る。ニトロ芳香族化
合物が転位してリガンドを形成するフォト−フライス
(Photo−Fries)反応は適した配位基を与え
るための別の可能な方法である。これらの反応のいずれ
を使用してもよいけれども、結合化合物の光分解は本発
明によると不必要であるので、これらの方法はより好ま
しくない実施態様である。
【0019】最も好ましい実施態様によれば、好ましい
フイルムは約10分子層と単分子層の間の厚さを有する
フイルムを意味するために定義された超薄層自己集積フ
イルム(ultrathin self−assemb
led film)である。このようなフイルムは当業
界で公知のディップコーティング、スピンコーティング
または気相蒸着法で形成され得る。
【0020】結合フイルムは、溶液からの金属化触媒を
結合し得る化学官能基を含む基材表面を与える。表面に
触媒を結合する1つの方法は、金属−配位子錯体形成に
よるかまたは配位反応による。理論に拘束されることを
望むものではないけれども、基材配位子Lの無電解触媒
例えばパラジウム(II)触媒と結合する能力は一般化
された錯体形成反応(I):
【0021】
【数1】 [式中、Kf は、式(I)中の生成物の濃度対反応物の
濃度の比に等しい、すなわち、
【0022】
【数2】 である]の生成平衡定数Kf を調べて簡単に決定され
る。
【0023】大きいKf 値は、強いまたは本質的に不可
逆の、触媒と配位子との結合を示す。多配座配位子が作
用するキレートは相当する単配座基よりも大きいKf
与える。ここで、単配座基という用語はただ1つの配位
子結合部位を提供し得る化学基を指し、多配座基という
用語は1個よりも多いリガンド結合部位を提供し得る化
学基または化学基群を指す。例えば、Ni(II)の
2,2′−ビピリジンによるキレーションはピリジン錯
体よりも10,000倍、またビス−ピリジン錯体より
も30倍より安定な錯体を導く。さらに、高いKf はそ
の後の金属化により、比較的強い基材への接着での金属
付着を与えると思われる。
【0024】ビピリジルはモノピリジルに比べて好まし
い。なぜなら、ビピリジルが無電解金属化触媒と比較的
強い結合を形成し、そして、高品質の金属沈着がそれに
より提供されるからである。適した多配座結合基の使用
は厚さ約2500オングストローム以上の金属プレート
を含む厚い接着性金属プレートの、なめらかなエッチン
グしていない表面上への沈着を可能にした。ビピリジル
に加えて、多くの他の多配座基、例えば、2,2′,
6,2″−ターピリジン、オキサレート、エチレンジア
ミン、8−ヒドロキシキノリンおよび1,10−フェナ
ントロリンもまた好適な結合基として働く。有機ホスフ
ィン類、ニトリル類、カルボキシレート類およびチオー
ル類もまたよく結合する、すなわち、パラジウム無電解
金属化触媒で有意のKf を示す。例えば、3−メルカプ
トプロピルトリエトキシシラン、2−(ジフェニルホス
フィノ)−エチルトリエトキシシラン、およびシアノメ
チルフェニルトリメトキシシランが本発明の好適な触媒
結合基として働く。リガンド中に非結合(π* )軌道を
有する結合基、例えばピリジンおよび他の窒素含有芳香
族類のような芳香族ヘテロ環類もまた好ましい。このよ
うな基は、錯体形成を容易にするdπ−>π* バックボ
ンディング(backbonding)相互作用を生起
させる。塩化ベンジル基は貧弱な結合能力を与え、一方
アルキルピリジルは無電解触媒と良好に結合することが
見出された。
【0025】結合層の形成に関するさらなる詳細は先に
引用したEPO特許出願第0,510,711号に記載
されている。
【0026】加工用化学物質(pr ocessing
che micals) パラジウム、白金、ロジウム、イリジウム、ニッケル、
銅、銀および金のようないろいろな金属を含有する化合
物が無電解触媒前駆体として使用し得る。パラジウムま
たはパラジウム含有化合物が好ましい。特に好ましいパ
ラジウム種はビス−(ベンゾニトリル)パラジウムジク
ロリド、パラジウムジクロリドおよびNa2 PdCl4
を含む。無電解金属化触媒は基材に水溶液として適用す
るのが好ましい。
【0027】基材と触媒溶液とを接触させる方法は広範
囲に変えることができ、基材を溶液中に浸すことおよび
スプレーすることを含む。触媒溶液接触時間は触媒溶液
組成および熟成によって変え得る。
【0028】いろいろな種類の触媒溶液がうまく使用さ
れ、分解に対して安定化された水溶液が好ましい。この
ように触媒溶液は補助的な配位子、塩、緩衝液および他
の触媒安定性を増進する物質を含んでもよい。触媒溶液
を安定化するための適した物質は使用される特定の触媒
によって変え得る。例えば、PdCl4 2-金属化触媒は
水溶液中で、触媒のオキソ橋架けオリゴマー類の形成を
抑制するために過剰な塩化物イオンの添加およびpHを
下げることにより安定化され得る。安定化は触媒溶液の
製造の間の塩化物イオン濃度の調節によってまたは触媒
溶液が全ての触媒活性を獲得した後の塩化物イオン濃度
の調節によって達成される。塩化物に加えて、触媒オリ
ゴマーの生成を妨げる他のアニオン例えば臭化物イオン
およびヨウ化物イオンもまた触媒溶液を安定化するのに
適した物質である。
【0029】カチオン効果もまた触媒組成物中で観察さ
れた。例えば、塩化ナトリウムとNa2 PdCl4 の使
用は活性および安定化触媒溶液を提供する。塩化ナトリ
ウムを塩化アンモニウムとこのような溶液中で置き換え
ると、金属化触媒としてほとんどまたは全く活性のない
溶液となる。この場合、触媒活性の欠失は溶液中におけ
る安定なシス−またはトランス−(NH3 2 PdCl
2 種の生成の結果であろうと思われる。塩化ナトリウム
を塩化テトラエチルアンモニウムと置き換えると、全て
の活性化に到達するためのより短い誘導期間を必要とす
る溶液を与え、一旦活性化すると数日間のみ選択性およ
び安定性が維持される。多くのカチオンが適し得るが、
カチオンの選択は特定の金属化方法によって指示され得
ることもまた注目すべきである。例えば、先進的超小形
電子用途に、一般にナトリウムイオンの使用は可能であ
れば避けるべきであり、それゆえに、触媒溶液安定剤と
しての塩化テトラエチルアンモニウム(TEACl)の
使用が好まれ得る。
【0030】高い(酸性の低い)pH、例えば4より大
きいpHの触媒溶液は適した緩衝溶液を用いて安定化で
きる。好ましくは、触媒溶液のpHは金属化触媒と明ら
かに配位しない緩衝成分によって制御される。
【0031】さらに、溶液製造法が触媒溶液の安定性お
よび金属化活性に影響し得ることが見出された。例え
ば、触媒溶液はほぼ等量の初期量の酢酸緩衝液、塩化ナ
トリウムおよびNa2 PdCl4 ・3H2 Oを使用して
製造され得る。NaClおよびNa2 PdCl4 ・3H
2 Oを含む触媒水溶液は金属化触媒としての全ての活性
に室温で製造後約24時間で到達する。
【0032】触媒溶液の成分は触媒と基材結合部位で結
合するために競合し得ることもまた見出された。例え
ば、4,4′−(ジ(カルボン酸−(N−3−(トリメ
トキシシリル)プロピル)アミド))−2,2′−ビピ
リジンについて、そのピリジル基のpKa 値はモノ−お
よびジ−プロトン化に対してそれぞれ約4.44と2.
6である。このように、PdCl2 /HCl(aq)触
媒溶液中において、HClはピリジル基をプロトン化し
て、効果的にこれらの部位に対しパラジウム触媒と競合
し得る。静電相互作用は金属化触媒とこのようなプロト
ン化結合基との間に起こるが、配位タイプは結合が実質
的に減少する。触媒溶液からのこのような配位競争相手
の排除が、触媒と基材結合基との配位タイプ結合を増大
させることがこのように見出された。
【0033】金属がその上に沈着される基材または電子
基材は永久的であっても一時的であってもよい。永久基
材は公知の多くの所望の構造体のいずれからなってもよ
い。例えば、該基材は通常のプリント配線板、成型回路
板、ハイブリッド回路、セラミック、電子装置の品目の
ハウジング、ガラスまたは石英板、等であってよい。本
発明の一実施態様において、基材は存在する回路であっ
てよく、本発明の方法は、多層回路構造を形成するのに
使用し得る。別の基材もまたスタック状に構成された多
層回路を有する裸のプラスチックで有り得る。このよう
な用途用に、選択的に沈着された金属は回路パス、金属
化バイアス、等を構成し得る。他の材料および構造もま
た、当業者には自明であろう。
【0034】これらの方法に適したフォトレジストはD
eForest著, Photoresist Mat
erials and Processes, McG
raw−Hill Book Company, Ne
w York, Ch.2,1975およびMorea
u著, Semic onductor Lithogr
aphy: Principles, Practic
es and Materials, Plenum
Press, New York, Chs.2 an
d 4, 1988(これらは参考として本明細書中に
含めることとする)を含むいろいろな出版物に記載され
たような当業界で公知のフォトレジストを含む。
【0035】ポジティブに作用するフォトレジスト(p
ositive workingphotoresis
ts)、は典型的には放射感受性成分が露光により、光
化学変性を受けるフイルム形成バインダー中に放射感受
性化合物を含む2成分系である。2成分系はコーティン
グ組成物を製造するのに適した溶媒中に溶解してもよ
い。2成分レジス系中においてもっとも頻繁に使用され
る光感受性化合物はo−キノンジアジド−スルホン酸又
は−カルボン酸から形成されたエステル類およびアミド
類、特にナフトキノンジアジド類のスルホン酸エステル
である。これらのエステル類およびアミド類は公知であ
り、DeForest著, 上掲, 47−55ページ
およびMoreau著,上掲, 34−52ページに記
載されている。光受応性化合物およびこれを製造するた
めに使用される方法はすべて、米国特許第3,046,
110号、第4,596,763号および第4,58
8,677号を含む先行特許に記載されており、これら
全ては参考として本明細書中に含めることとする。
【0036】o−キノンジアジド増感剤を使用するホジ
ティブに作用するフォトレジスト用に最も頻繁に使用さ
れるポリマーバインダーはノボラック樹脂として知られ
ているアルカリ可溶性フェノールホルムアルデヒド樹脂
である。このようなポリマー類を使用するフォトレジス
ト類は米国特許第4,377,631号および第4,4
04,272号で説明されている。o−キノンジアジド
類と共に使用される別の種類のバインダー類はビニルフ
ェノールのホモポリマー類およびコポリマー類である。
この種のフォトレジストは米国特許第3,869,29
2号に開示されている。
【0037】単一成分フォトレジストは鎖切断を受ける
ポリマーを含む。バインダーとしてポリグルタルイミド
ポリマーのみをまたは光活性成分と一緒に使用するフォ
トレジストは米国特許第4,246,374号およびさ
らに、米国特許第4,636,532号に開示されてい
る。ポリメチルメタクリレートを単一の成分系として使
用するフォトレジストは米国特許第4,584,309
号に開示されている。単一成分ポジティブレジストであ
るポリエステルおよびポリケトンレジスト類は米国特許
第4,584,309号に開示されている。
【0038】ネガティブに作用するフォトレジスト類
(negative−actingphotoresi
sts)もまた適している。このようなフォトレジスト
類の好ましい種類は酸硬化樹脂、アミノプラストまたは
フェノプラストおよびハロゲン化、有機、光酸発生化合
物を含むEPO特許出願第0,232,972号に開示
されている酸硬化レジスト類である。別の好ましい種類
のフォトレジストは米国特許第4,877,818号に
開示され請求されている。これらは参考として本明細書
中に含めることとする。これらのレジストは荷電された
キャリヤー基を有する少なくとも1つのポリマー、光開
始剤および活性化放射への露光によるフイルムの架橋の
ための不飽和源を含む。
【0039】高い分割度が必要な用途用に、特にプラズ
マエッチング法を含む用途用に、フォトレジストコーテ
ィングは薄いのが望ましい。本発明の好ましい実施態様
において、フォトレジスト層は乾いたコーティングの厚
さ50〜500nm、より好ましくは75〜250nm
を有する。
【0040】平坦層は基材からの反射を避けるために使
用される。適した平坦層は以下の具体例中で記載され
る。しばしば、平坦層は反射した光を吸収するために染
料を含む。平坦層の厚さは重要ではないが好ましくは約
100〜2,500nm、より好ましくは200〜2,
000nmの間で変わる。
【0041】無電解金属沈着溶液は外部から電流をかけ
ずに化学的還元によって触媒的に活性な表面上に金属を
沈着させるものである。無電解金属沈着のための方法お
よび組成は当業界で公知であり、実質的に市販されてい
る。これらは多数の先行技術特許、例えば銅めっき溶液
は米国特許第3,615,732号、第3,615,7
33号、第3,728,137号、第3,846,13
8号、第4,229,218号および第4,453,9
04号に開示されており、全て参考として本明細書中に
含めることとする。無電解ニッケルめっき溶液は米国特
許第2,690,401号、第2,690,402号、
第2,762,723号、第3,420,680号、第
3,515,564号および4,467,067号に記
載されており、これらは参考として本明細書中に含める
こととする。多数の銅およびニッケルめっき溶液が市販
されている。無電解沈着され得る他の金属類は金、パラ
ジウム、コバルトおよびスズ−鉛合金を含む。本発明の
目的に好ましい無電解金属は銅およびニッケルであり、
ニッケルが最も好ましい。
【0042】本発明は、ポジティブに作用するフォトレ
ジストを用いた本発明方法を使用するプリント配線板の
製造に適した製造ステップを描いた図1から図4を参照
することによってより良く理解されるであろう。
【0043】図1には、結合層(誇張した割合で)11
でコーティングされた基材10が示されている。説明の
目的で、断面線A−AとB−Bとの間に位置する基材1
0とリガンド層11の中間面を図1Aに詳説する。図1
Aに示されている通り、基材は基材に結合している末端
基と、環境に対して開放されている、触媒金属と錯体形
成し得る第2末端基(L)とを有する配位子層でコート
されており、該2つの末端基は炭化水素鎖のような不活
性スペーサー基によって互いに分離されている。
【0044】図2はフォトレジストコーティング12で
コートされた後の基材10を示す。示されている通り、
フォトレジストが適用され、乾燥され、活性化放射に露
光することによって像形成され、基材10上にパターン
化されたレジストコーティングを形成するために現像さ
れる。該像は、回路パスまたは他のいかなる所望のパタ
ーンの形態であってもよい。現像によってフォトレジス
トコーティング中に凹所が形成され、フォトレジストが
現像により除かれたリガンドコーティング11が露出し
た。このことは、リガンドコーティングと基材10の中
間面および像形成されたパターン中のフォトレジスト層
12の両方を示す図2A中にさらに詳細に示されてい
る。
【0045】製造法の次のステップは図3に詳説した。
示されたように、触媒層13を形成するために物品全体
をはめっき触媒の溶液と接触させた。めっき触媒を、最
初触媒溶液が、使用された触媒の選択性に依存したレジ
ストの除去により領域中でむきだしになったリガンド層
と接触して、めっき触媒とリガンド層とが結合する。こ
のようにして、所望でない領域での無関係のめっきを回
避して、めっき金属によるめっきが所望の領域のみに限
定される。結合物質と配位するためのより低い選択性の
能力の触媒の場合には、所望でない触媒を除く付加的な
ステップが使用されねばならない。この触媒は、有機層
の上部表面を無関係の触媒と共に除くための溶媒(フォ
トレジストの場合現像剤)と該有機層との接触により有
機層から容易に除き得る。さらに、層10と12との中
間面に触媒物質は存在しない。もしも製造しようとする
物品が高密度回路板であれば、触媒物質の存在は回路配
線間に望ましくない導電性経路が生じる。触媒物質と配
位子層の組合せは図3Aでより詳説する。
【0046】触媒表面の形成後に、基材は、図4および
図4Aに示したように、フォトレジスト12によって形
成された凹所中に金属沈着14を形成させるために無電
解めっき溶液との接触によってめっきされてもよい。形
成された金属沈着は物品の最終用途に応じて約100オ
ングストロームから数ミルの厚さで変わり得る。回路板
製造のために全付加的な方法において、より薄い沈着物
は沈着物がプラズマエッチバリヤーとして使用されると
きに適しており、また厚い沈着物は回路パスとして沈着
物を使用するときに適している。沈着の厚さはめっき溶
液に漬ける時間、温度および溶液中の成分の濃度に依存
する。
【0047】図1から図4に描いた方法に加えて、基材
を選択的パターンに金属化する別の方法がある。例え
ば、フォトレジストは基材に配位子層を適用する前に該
基材に適用されてもよい。この方法においては、フォト
レジストの基材への適用およびレリーフパターンを形成
するためのフォトレジストの像形成の後に、像形成され
たフォトレジストコーティングを有する基材はむきだし
の基材表面上、フォトレジストコーティングの側壁およ
びそのトップ表面に配位子層を形成するために配位子溶
液と接触させられた。金属はその後、いくつかの別の方
法によって選択的に沈着され得る。例えば、フォトレジ
ストは表面から剥離(stripped)されてもよ
く、これによって、配位子は配位子層を基材上に所望の
パターンで残存しながらフォトレジストと共に除かれ
る。ターン化された配位子層はその後金属化されてもよ
い。または、フォトレジスト層および配位子でコートさ
れたむき出しの基材は金属の薄層で金属化された後、フ
ォトレジストを剥離して、フォトレジストよりも下層の
金属コーティングを除去し、しかしながら基材をそのま
ま残す方法であってもよい。この方法の短所は残ってい
る金属沈着の縁がぼろぼろになることである。さらに別
の方法として、レリーフパターンを有する像形成された
フォトレジスト表面と結合物質とを接触させた後、残っ
ているフォトレジストコーティングの表面に像形成し、
そして現像してフォトレジストコーティングの上部表面
およびその上の配位子層を除いてもよい。その後、コー
ティングの凹所内のフォトレジスト表面にリガンドを結
合しむき出しの基材表面を金属化し得る。最後に、リガ
ンドの適当な選択および基材の適当な条件設定によっ
て、リガンドをフォトレジストに結合することなく基材
に結合し得る。その後、配位子層は本発明の方法によっ
て金属化し得る。
【0048】本発明の方法は基材のプラズマエッチング
によく適している。この用途は図面の図5に示されてお
り、図中、(めっきされた金属の薄い沈着物を有する)
図4の品物がプラズマエッチングにかけられ、これによ
り金属層14によって保護されていない基材10の領域
がエッチングされる。エッチングの間、はじめにレジス
トその後配位子層そして最後に基材10が深さDまで除
かれる。金属層13は基材をプラズマから保護する。プ
ラズマエッチングの後、金属層および配位子層は所望に
より酸との接触による除去かまたは剥離剤(strip
per)で除去することによって除き得る。
【0049】本発明の方法はまた、付加的回路板の形成
にもよく適している。この用途は図面の図6に図示され
ており、図中、この例において、金属沈着物14が凹所
の全厚さまでめっきされた。この実施態様に関して、も
しもレジスト層12が適した誘電および熱特性を有する
ならば、該層は、永久的誘電層として存在し得る。また
は、そのレジスト層は当業者には理解されるように基材
から剥離され、永久的誘電物質と置換され得る。
【0050】
【実施例】本発明は以下の実施例を参照することによ
り、よりよく理解されるであろう。 基材の製造 全ての無機物質(シリコン、シリカまたは石英)を濃塩
酸:メタノール1:1(v/v)溶液中に30分間浸す
ことにより、洗浄した。この基材をその後、脱イオン水
で濯ぎ、濃硫酸にさらに30分間浸し、その後、脱イオ
ン水で再び濯いだ。洗浄した基材はその後、穏やかに沸
騰した水の容器に移し、そこにそれらを必要になるまで
貯蔵した。続く結合物質のメタノールまたは水溶液中で
のフイルム形成のために、洗浄された基材は水濯ぎから
直接使用した。結合物質のトルエン溶液からのフイルム
形成のために、基材を0.22ミクロンのフィルターを
通した窒素ガス流下、乾燥させた。実施例において、使
用されたシリカ基材はEsco Productsまた
はDell Opticsから市販されている1インチ
四方のヒューズドシリカ(fused silica)
スライドであった。シリコンウエハーはInterna
tional Wafer Serviceから得た。
【0051】処理溶液の製造 触媒溶液 以下の実施例で使用する触媒溶液はナトリウム塩化第一
パラジウム3水和物11.3mgおよび1モルの塩化ナ
トリウム水溶液1mlを溶解して製造した。固体が溶解
した後、(以下の如く製造した)pH5の緩衝溶液の1
0mlのアリコートをパラジウム溶液に加え、内容物を
脱イオン水100mlで希釈した。パラジウム溶液を2
4時間放置した後、10mlのアリコートを取り出し、
等量の1モルの塩化ナトリウム水溶液で置換した。実施
例で使用される全触媒溶液は製造から1週間以内に使用
した。
【0052】緩衝溶液 緩衝溶液は2−(N−モルフォリノ)エタンスルホン酸
から前記物質2.13gを水50ml中に溶解するまで
攪拌しながら加えることにより製造した。この溶液はp
Hを希水酸化ナトリウム溶液で調整した。この溶液を、
最終緩衝液を製造するために100mlに希釈した。
【0053】金属化浴 100%ニッケル金属化浴−−−使用された100%ニ
ッケル金属化浴はShipley Company I
nc.から市販されているNiposit 468無電
解ニッケル−ホウ素めっき浴であった。実際の浴はA溶
液4mlを脱イオン水88.5mlと混合して製造し
た。この溶液に溶液M5ml、その後溶液B2.5ml
を加えた。この浴を0.1モルの硫酸を加えて、pH
7.0に調整した。
【0054】10%ニッケル金属化浴−−−10%金属
化浴は上記標準100%ニッケル−ホウ素金属化浴1体
積と水9体積とを混合して製造した。以下の例におい
て、全てのめっきは、特に特定しない限り10%浴を用
いて行った。この浴は1.0モルの硫酸を加えることに
よって、pH7.0に調整した。めっきの間の実際の浴
の温度は、特に特定しない限り典型的には20〜25℃
の間に保った。
【0055】100%コバルト金属化浴−−100%コ
バルト金属化浴は使用の直前に標準コバルト溶液3体積
と標準還元溶液1体積とを混合して製造した。標準コバ
ルト溶液は塩化コバルト6水和物6g、塩化アンモニウ
ム10gおよびエチレンジアミンテトラ酢酸四ナトリウ
ム塩9.8gおよび脱イオン水100mlを溶解し、2
モル当量の水酸化ナトリウム溶液でpHを8.2に調整
して製造した。標準還元溶液はジメチルアミンボラン錯
体8gおよび脱イオン水100mlからなる。
【0056】25%コバルト金属化浴−−25%金属化
浴は、標準100%コバルト−ホウ素金属化浴1体積と
水3体積とを混合して製造した。
【0057】実施例1:無電解金属バリヤーを使用する
反応性イオンエッチング用シリカウエハーの製造 N−(2−アミノエチル)−3−アミノプロピルトリメ
トキシシラン(構造式(CH3 O)3 SiCH2 CH2
CH2 NHCH2 CH2 NH2 、以後EDAと呼ぶ)の
フイルムを以下の様に天然酸化物表面を有する直径3イ
ンチのSiウエハー上で形成させた。不活性雰囲気下に
おいて(He充填乾燥ボックス)、シランの1%(v/
v)溶液はニートのシランを、あらかじめ1×10 -3
酢酸で酸性化しておいたメタノールに加えて製造した。
この溶液を乾燥ボックスから取り出し、5(体積)%の
脱イオン水を加えた。洗浄した基材は直接フイルム沈着
溶液中に移した。室温において、15〜20分漬けた
後、基材を取り出し、無水メタノール中で洗浄し、そし
てその後、ホットプレート上で120℃で3〜5分間焼
いた。
【0058】つくりたてのEDA−処理表面の(液滴法
を使用する)水接触角測定で17〜25°の値を得た。
時間がたつと、接触角はゆっくりと増加し、サンプル合
成から12時間以内に約30°の安定値に到達した。E
DAコートしたヒューズドシリカスライドのUV吸収ス
ペクトルは、200nmより大きい測定し得る吸収を与
えなかった。
【0059】このEDAをコートしたウエハーを触媒溶
液と約30分間接触させて触媒化した。この時間の後、
触媒溶液を除き、ウエハーを脱イオン水で濯ぎ、窒素下
乾燥指せた。このウエハーをその後、Shipley
Company Inc.から市販されているSNR
(商標)248−1.0フォトレジストでコートした。
SNR 248は深UV感受性であり、高分割能であ
り、ネガティブに作用し、ポリ(4−ビニルフェノー
ル)樹脂バインダーおよび酸触媒架橋性物質を使用して
化学的に増幅されるフォトレジストである。このレジス
トはスピンスピード4,000rpmで30秒間を使用
するスピンコーティングにより、約1μmの厚さのコー
ティングを製造することにより基材に適用された。この
レジストをその後、真空ホットプレート上で90℃で6
0秒間露光前焼成した。このフォトレジストをその後、
Cymer CXレザーからのパターン化放射を使用し
てKrFを使用して248nmで露光した。レーザービ
ームの中心は回路装置を通過し、その後拡散性ヒューズ
ドシリカレンズにより広げられた。拡散ビームをその
後、各パルス毎に平均エネルギー密度1mJ/cm2
有する均一なビームを造るためにヒューズドシリカレン
ズを介して平行化した。姿サイズ10μmまでのヒュー
ズドシリカ上クロム(chrome−on−fuse
d)光学マスクをフォトレジストコートされたウエハー
に直接機械的に接触させて置いた。レジストの異なる領
域をその後、10〜50mJ/cm2 の範囲で変わる線
量の248nmの放射に露光し、そしてその後、130
℃で1分間露光後焼成した。このレジストを2分間0.
14のNaOH中で現像した。脱イオン水で濯いだ
後、このウエハーを10%のニッケル金属化浴に20分
間漬けた。全てのウエハーは選択的にめっきされたが、
10μmの最小マスク姿サイズを有する最量のパターン
は15〜20mJ/cm2 で露光したウエハーを用いて
得られた。
【0060】実施例2:この実施例は、触媒化フォトレ
ジスト層の適用および像形成の後に行うことを除いて実
施例1と同様である。
【0061】天然酸化物表面を有する直径4インチのp
−型<100>Siウエハーを実施例1において記載し
たように結合フイルムで処理した。SNR248フォト
レジストを、0.96μmの厚さのコーティングを形成
するためにスピンスピード4100rpmを使用し30
秒間基材上にスピンコートし、実施例1におけると同様
に露光前焼成した。このレジストはその後、248nm
で、線幅の狭いKrFレーザーを使用するGCA XL
S 200 Laserstep 5x reduct
ion projection stepperからの
パターン化放射を使用して露光した。露光は14.5〜
23.6mJ/cm2 の間で行った。このレジストを1
30℃で1分間露光後焼成した。このレジストを75秒
間0.14 NaOHを使用して現像し、その後、脱
イオン水で濯いだ。このウエハーをその後、光学反射顕
微鏡下で検査し、約2.5μmまでの線幅をもつ予期さ
れた構造(features)を示した。この値よりも
小さいものは、おそらくレジストのシラン化表面への不
十分な接着によって、幅の変化する波打った線を示し
た。ウエハーのフォトレジストコートされた表面はめっ
き触媒で30分間処理され、濯がれ、そしてその後、1
0%ニッケル金属化浴に30分間浸された。このフォト
レジストはアセトン中に3分間浸すことによってウエハ
ーからストリップされた。光学顕微鏡下の検査により、
ニッケル沈着が全ウエハー上に選択的に起こったことが
示され、金属構造はレジストの現像された領域中で先に
観察されたパターンを写していた。しかしながら、露光
された(架橋された)フォトレジストは、数分間の超音
波処理を伴う2回目のアセトン中への浸漬の後でさえ
も、アセトンストリップによりウエハーのいくらつの場
所から完全にはストリップされなかった。
【0062】実施例3:この実施例は別のストリッピン
グ溶液を使用して実施例2を繰り返した。
【0063】実施例2中に記載されたようにウエハーを
EDAシラン、SNR248フォトレジストで処理し、
露光し、現像し、触媒化し、金属化した。このウエハー
を架橋したフォトレジストをストリップするためにSh
ipley CompanyInc.より市販されてい
るXP91−140リムーバーに1分間25℃で浸し
た。実施例2に記載した理想的な金属パターンが得ら
れ、ウエハー上にフォトレジストの残渣はなかった。
【0064】実施例4:金属イオン非含有の現像剤を使
用して実施例2の方法を繰り返した。
【0065】ウエハーを実施例2のようにEDAシラ
ン、SNR248フォトレジストで処理し、露光し、そ
して、露光後焼成した。ウエハーをその後、Shipl
eyXP89−114現像剤(水酸化テトラメチルアン
モニウムを活性成分として含む)に2分間浸した。光学
顕微鏡下のウエハーの検査により線幅約1μmまでの予
期された構造を示したが、いくらかは線幅約0.5μm
までのものが得られた。しかしながら、線幅1μmより
小さい構造の大部分は、おそらくまたシラン化表面への
レジストの不十分な接着により、実施例2で記載された
より高い分割能の構造と同様の性能を示した。フォトレ
ジストコートされたウエハー表面は触媒溶液で処理さ
れ、濯がれ、そしてその後、10%のニッケル金属化浴
に40分間浸された。このフォトレジストはウエハーか
らXP91−140リムーバー中に1分間浸すことによ
ってストリップされた。光学顕微鏡下の検査によりニッ
ケル沈着が全てのウエハー上に選択的に起こったことが
示され、そして金属構造は、レジストの現像された領域
で前に観察されたパターンを写していた。
【0066】実施例5:結合フイルムを形成するために
PEDAが使用された以外は実施例2の方法が繰り返さ
れた。
【0067】(アミノエチルアミノメチル)フェネチル
トリメトキシシラン(構造式(CH3 O)3 SiCH2
CH2 6 5 CH2 NHCH2 CH2 NH2 、以後P
EDAと呼ぶ)のフイルムを以下のように製造した。不
活性雰囲気下(He充填乾燥ボックス)、シランの1%
(v/v)溶液をニートのシランを、1×10-3M酢酸
であらかじめ酸性化されたメタノールに加えることによ
って製造した。この溶液を乾燥ボックスから取り出し、
5(体積)%の脱イオン水を加えた。洗浄した基材を直
接フイルム沈着用溶液中に移した。15〜20分間室温
で浸漬した後、基材を取り出し、無水メタノールで濯
ぎ、そしてその後、ホットプレート上で3〜5分間12
0℃で焼いた。
【0068】作り立てのPEDA処理した表面の水接触
角測定により64〜68°の値を得た。PEDAコート
されたヒューズドシリカスライドのUV吸収スペクトル
は約200nmで最大値および220nmにショルダー
をそれぞれε約5.5×104 -1cm-1および約1.
8×104 -1cm-1で示した。これらのεの値は吸収
値から計算され、10の表面濃度および1×10-7
mの経路長に基づいた。ヒューズドシリカ表面上のPE
DAの観測したスペクトルとアセトニトリル溶液中のス
ペクトルの間の対応により、フイルムと基材との結合を
確認した。
【0069】PEDA処理されたウエハーを、その後、
0.96μmのSNR248フォトレジストでコート
し、100℃で1分間露光前焼成し、GCA XLS2
00stepperを使用する9.8〜23.3mJ/
cm2 の248nm放射に露光し、そして、130℃で
1分間露光後焼成した。ウエハーはその後、XP89−
114現像剤を使用して125秒間パドル現像された。
ウエハーの光学顕微鏡下の検査により線幅約1μmまで
の所望の構造を示したが、線幅約0.5μmまでの構造
もいくらか得られた。ウエハーのフォトレジストコート
された表面を触媒溶液で触媒化し、濯ぎ、そしてその
後、ニッケル金属化浴中に40分間浸した。ウエハーの
光学顕微鏡下の検査によりニッケル沈着が全てのウエハ
ー上に選択的に起こったことが示された。このフォトレ
ジストをXP91−140リムーバー中に1分間浸すこ
とによりウエハーからストリップし、このウエハーを走
査電子顕微鏡で再検査した。サブミクロンまでの大きさ
の金属構造が観察された。
【0070】実施例6:この実施例はPEDA結合フイ
ルムおよびSAL−601フォトレジストの使用を伴う
フォトレジストマスキング法の使用を実証する。
【0071】ウエハーを実施例5中で記載したようにP
EDAシランで処理し、5000rpmで38秒間のス
ピンコーティングにより0.52μmのSAL−601
フォトレジストでコートした。SAL−601は深さU
V(および電子線、X線)感受性、高分割能、ノボラッ
ク樹脂を使用する化学的に増幅されたフォトレジストで
ある。SAL−601はShipley Compan
y Inc.から市販されている。レジストコートされ
たウエハーを100℃で1分間露光前焼成し、GCA
XLS200stepperを使用して9〜41mJ/
cm2 の248nm放射に露光し、100℃で1分間露
光後焼成した。ウエハーをその後、Shipley C
ompanyから市販されている0.23 MF−3
12現像剤を使用して270秒間現像した。光学顕微鏡
下のウエハーの検査により明視野領域中、線幅約0.3
5μmまでの所望の構造(実施例2および3中における
様な接着欠損の証拠はなかった)が示され、暗視野領域
中、線幅約0.45μmまでの所望の構造が観察され
た。このフォトレジストコートしたウエハー表面を触媒
溶液で30分間触媒化し、濯ぎ、そしてその後、ニッケ
ル金属化浴中に2時間浸した。このフォトレジストはX
P91−140中に70秒間浸すことによりウエハーか
らストリップし、そしてこのウエハーを光学顕微鏡で再
検査した。使用した全ての露光量に対して、線幅3μm
またはそれ未満の構造は明視野領域中に存在しなかっ
た。暗視野領域中において、金属構造は0.45μm以
下で存在し、これは36〜40mJ/cm2 の露光量に
相当する。走査電子顕微鏡での暗視野領域の検査により
0.35μm空間と0.7μm金属構造に分割されたこ
とが示された。SAL−601レジストをPEDA結合
フィルムとを結合して使用して製造した金属化構造は鋭
い縁、本質的に垂直な側壁を示し、構造体の基部におけ
る観測し得る金属の広がりがないことを示した。この結
果は、このレジストがPEDA表面に対して、実施例5
で示されたSNR248レジストがEDA表面に対する
接着よりも優れた接着を有することを示す。
【0072】実施例7:この実施例は、EDA結合フイ
ルムおよびヒューズドシリカ上のPMMA電子ビームレ
ジストの使用を伴うフォトレジストマスキング法の使用
を実証する。
【0073】3インチ平方のヒューズドシリカ板(Ho
ya Electric)を実施例1に記載した様にE
DAシランで処理した。基材をその後、フイルム厚さ約
0.5μmを得るために、PMMA(KTI製、分子量
950,000、6%溶液)で5Krpmで30秒間ス
ピンコートした。このPMMAを120℃で30分間焼
いた。300オングストロームのクロムのオーバーコー
トを、電子ビームに露光する間荷電効果を減らすために
PMMAの表面に蒸着させた。このレジストをCamb
rige EBMF6.5electron−beam
writerを使用して照射量90μm/cm2 で、
1〜10μmの間の構造サイズ(feature si
ze)に露光した。露光の後、クロム層をCR−9スト
リッピング溶液(Cyantek)を使用して除いた。
露光されたPMMAはメチルイソブチルケトン中に90
秒間室温で浸すことにより現像した。パターン化された
基材を触媒溶液で30分間触媒化し、そしてその後ニッ
ケル金属化浴に40分間浸した。このレジストをアセト
ン中に5分間浸すことによりストリップした。基材の選
択的金属化は構造サイズ約2μmまでで観察された。い
くらか余分の金属化がPMMAの表面上で起こった。基
材をアセトン中で5分間超音波処理にかける第2のスト
リッピング法により過剰の金属のほとんどが除去され、
選択的に金属化された基材領域のいずれにも損傷は与え
られなかった。
【0074】実施例8:この実施例はSNR248フォ
トレジストの使用を伴うフォトレジストマスキング法の
使用を実証する。レジストが現像された後に、EDA配
位子、触媒および無電解金属が適用された。望ましくな
い金属はその後レジストをストリッピングすることによ
り除去される。
【0075】清浄な天然酸化物表面を有するSiウエハ
ーを、パターン(topography)をレジスト中
に造るために、実施例1に記載した様にSNR248で
コートし、露光前焼成し、248nmの光に露光し、露
光後焼成し、そして現像した。このウエハーをその後、
実施例1に記載されたEDAのメタノール溶液で処理
し、触媒溶液で30分間触媒化し、そして25%コバル
ト浴で約10分間めっきした。均一にめっきされた、パ
ターン化されたウエハーは架橋レジストを溶解するため
にアセトンで室温で処理し、露光した領域上の金属をフ
ォトレジストと一緒に除去した。これにより、元のレジ
ストパターンと逆の像を与えるウエハー上に約10μm
までの構造サイズの(Scotch(登録商標)テープ
テストに合格した)接着金属パターンが得られた。しか
しながら、これらの像のほとんどは、レジスト/金属領
域の除去の間に金属フイルムが裂けることによって縁が
ぼろぼろになった。この結果はEDAシラン処理が露光
されていないレジストの表面および露光された領域中の
ウエハーの表面に結合フイルム適用させようとすること
を示す。
【0076】実施例9:この実施例はSNR248フォ
トレジストとEDA配位フイルムの使用に伴うフォトレ
ジストマスキング法の使用を実証する。ここでは露光さ
れていないレジストは金属化の前にストリップされる。
【0077】実施例8で観測された縁の粗さを最小化す
るために、レジストストリッピングステップを触媒作用
の後に、しかし金属化のに行ったことを除いて同様の
方法を行った。SiウエハーをSNR248でコート
し、穏やかに焼き、接触マスクを介してKrF exc
imer laserからの50mJ/cm2 に露光
し、露光後焼成し、その後、3分間XP89−114現
像剤で現像した。パターン化されたウエハーを希EDA
溶液で処理し、そしてその後、触媒溶液で15分間触媒
化した。残っている架橋されたレジストをアセトンでス
トリップし、そしてその後、25%コバルトめっき浴中
で10分間浸した。これによりウエハー上に直接接着し
たコバルトパターンが生じ、多くはサブミクロンの縁の
粗さおよび分割された10μmの構造を示し、実施例8
の結果よりもかなり改善された。しかしながら、ウエハ
ーのいくらかの領域で、アセトン中でのより長い漬浸時
間によってもストリップされ得ない過剰な非金属化レジ
スト物質が存在していた。オージェ電子顕微鏡を使用す
るコバルトめっき表面の特性付けにより、レジスト下の
露光領域中にパラジウムも銅もないことが示された。
【0078】実施例10:この実施例はSNR248フ
ォトレジストとEDA結合フイルムの使用を伴うフォト
レジストマスキング法の使用を実証する。ここでは露光
されていないレジストは金属化の前にストリップされ
る。
【0079】SiウエハーをEDAのメタノール溶液を
現像剤としておよび表面改質ステップで使用したことを
除いて、実施例9と同様な方法で処理した。このウエハ
ーをその後、上記のように、触媒化し、ストリップし、
めっきした。この方法は実施例9に記載された方法と同
等の分割能、接着力(Scotchテープテストに合
格)、および実施例9に記載された方法より大きな非金
属化レジスト特性を与えた。
【0080】実施例11:この実施例はシリコン上のP
EDA結合フイルムおよびS1400フォトレジストを
伴うフォトレジストマスキング法の使用を実証する。
【0081】Siウエハーを実施例1に記載したように
PEDAシランで処理した。(フイルム形成の後の濯ぎ
ステップにおいて、脱イオン水濯ぎおよび0.1HC
l濯ぎの両方が同等の結果を与え、この方法のプロトン
化表面フイルムへの感受性の欠如を示した。)このウエ
ハーをその後、4000rpmで30秒間のスピンコー
ティングによって、1.0μmのShipleyS14
00−27フォトレジストでコートした。S1400−
27はUV感受性、高分割能、ノボラック樹脂を使用す
るジアゾナフトキノンベースのフォトレジストである。
このレジストでコートされたウエハーを90℃で30分
間露光前焼成し、13秒間標準KarlSuss va
cuum contact alignerと標準UV
光源(365〜405nm放射)を使用して露光した。
使用されたマスクは線幅10μmまでの曲がりくねっ
た、交差橋架け構造のヒューズドシリカ上クロム板であ
った。ウエハーをその後、0.27(濃厚物の1:1
希釈)のShipleyCompany製MF−312
現像剤を使用して45秒間現像した。光学顕微鏡下のウ
エハーの検査により線幅10μm(マスク上の最小構造
サイズ)以下の所望の構造(接着欠損の証拠はない)を
示した。このフォトレジストコートされたウエハーの表
面を触媒溶液で触媒化し、濯ぎ、そしてその後、ニッケ
ル金属化浴中に20分間浸した。このフォトレジストを
アセトン中に、約30秒間浸すことによってウエハーか
らストリップし、脱イオン水で濯ぎ、そしてこのウエハ
ーをN2 下乾燥した。光学顕微鏡下のウエハーの検査に
よりマスク上におけると同じ構造サイズでニッケル金属
中に複製された曲がりくねった、交差橋架け構造を示し
た。
【0082】実施例12:この実施例はシリコン上のサ
ブミクロン構造を製造するためのPEDA結合フイルム
およびS1400フォトレジストの使用を伴うフォトレ
ジストマスキング法の使用を実証する。
【0083】Siウエハーを実施例11に記載したよう
にPEDAシランで処理し、S1400−27フォトレ
ジストでコートし、高分割能トランジスターテスト構造
マスクを使用して露光し、現像し(MF−312中40
秒間)、金属化し、そしてストリッピングした。光学顕
微鏡下のウエハーの検査により線幅1μmまでの金属構
造が示された。
【0084】実施例13:この実施例はCVDダイヤモ
ンド上の、PEDA結合フイルムおよびS1400フォ
トレジストをの使用伴うフォトレジストマスキング法の
使用を実証する。
【0085】ウエハーを150sccmO2 を使用する
Bransonプラズマエッチャー中で2分間100W
のRFパワーにかけた。親水性表面をその後、上記した
ようにPEDAシランで処理した。S1400−27フ
ォトレジストを実施例11に記載したようにウエハー上
にコートし、露光し(曲がりくねったマスク)、現像
し、触媒化し、そしてニッケルで(2時間)めっきし
た。アセトンでレジスト除去した後、ウエハーを光学お
よび電子顕微鏡で検査した。選択的金属沈着が10μm
(使用したマスク上に存在する最小の線幅)以下の構造
サイズで観察された。
【0086】実施例14:この実施例はSi上の、UT
F−15結合フイルムおよびS1400フォトレジスト
の使用を伴うフォトレジストマスキング法の使用を実証
する。
【0087】2−(トリメトキシシリル)エチル−2−
ピリジン(構造式(CH3 O)3 SiCH2 CH2 5
4 N、以後UTF−15と呼ぶ)のフイルムを以下の
ように製造した。不活性雰囲気(He充填乾燥ボック
ス)下、UTF−15の1%(v/v)溶液をニートの
シランを、あらかじめ10 -3酢酸で酸性化しておいた
トルエン中に加えることによって製造した。この溶液を
乾燥ボックスから取り出し、洗浄し、乾いた基材をフイ
ルム沈着用溶液中に室温で移した。この溶液を60分間
ホットプレート上で、溶液の温度が65℃に到達するま
で温めた。この基材を溶液から取り出し、新鮮なトルエ
ン中で2回濯ぎ、その後、ホットプレート上で3分間1
20℃で焼いた。
【0088】スライドの表面の接触角測定によって45
°の値が得られた。UTF−15コートされたヒューズ
ドシリカスライドのUV吸収スペクトルは200nmお
よび260nmに最大吸収およびε=4900M-1cm
-1をそれぞれ示した。このスペクトルとアセトニトリル
溶液中のUTF−15のスペクトルの対応によりUTF
−15のヒューズドシリカ表面への結合を確認した。
【0089】UTF−15処理された基材をその後、実
施例11に記載したようにS1400−27フォトレジ
ストでコートし、露光し、現像し、触媒化し、金属化
し、そしてストリップした。光学顕微鏡下でのウエハー
の検査により、曲がりくねった交差橋架け構造がニッケ
ル金属中に、マスク上におけると同じ構造サイズで複製
されたことが示された。
【0090】実施例15:この実施例はSi上の、UT
F−60結合フイルムおよびS1400フォトレジスト
の使用を伴うフォトレジストマスキング法の使用を実証
する。
【0091】2−(ジフェニルホスフィノ)エチルトリ
エトキシシラン(構造式(CH3 CH2 O)3 SiCH
2 CH2 P(C6 5 2 、以後UTF−60と呼ぶ)
のフイルムをSiウエハーおよびヒューズドシリカスラ
イド上に以下のように製造した。不活性雰囲気下(He
充填乾燥ボックス)、UTF−60の1%(v/v)溶
液をラートのシランを、あらかじめ10 -3酢酸で酸性
化したトルエンに加えることによって製造した。この溶
液を乾燥ボックスから取り出し、そして洗浄し、乾いた
基材を室温でフイルム沈着用溶液中に移した。この溶液
を40分間ホットプレート上で溶液の温度が65℃に到
達するまで40分間温めた。基材を溶液から取り出し、
新鮮なトルエン中で2回洗浄し、その後、ホットプレー
ト上で3分間120℃で焼いた。
【0092】水接触角測定により(48±2)°の値が
得られた。UTF−60でコートされたヒューズドシリ
カスライドのUV吸収スペクトルは200nmおよび2
60nmに最大吸収および約230nmにショルダーを
示した。このスペクトルとアセトニトリル溶液中のUT
F−60のスペクトルの対応によりUTF−60のヒュ
ーズドシリカ表面への結合を確認した。
【0093】UTF−60処理した基材をその後、実施
例11に記載したようにS1400−27フォトレジス
トでコートし、露光し、現像し、触媒化し、金属化し、
そしてストリップした。光学顕微鏡下でのウエハーの検
査によりマスク上におけると同じ構造サイズでニッケル
金属中に複製された曲がりくねった交差橋架け構造が示
された。
【0094】実施例16:この実施例はシリコン上にサ
ブミクロン構造を造るための、水性EDA結合フイルム
およびS1400フォトレジストの使用を伴うフォトレ
ジストマスキング法の使用を実証する。
【0095】Siウエハーを、処理溶液が完全に水性で
あることを除いて実施例1に記載したようにEDAシラ
ンで処理した(水中1%シランと1×10 -3酢酸)。
EDA処理されたウエハーをその後S1400−27フ
ォトレジストでコートし、標準UVcontact a
lignerおよび高分割能トランジスターテスト構造
マスクを使用して露光した。実施例11に記載したよう
にウエハーを現像し、金属化し、そしてストリップし
た。光学顕微鏡下のウエハーの検査により線幅1μまで
の金属構造が示された。
【0096】実施例17:この実施例はSi上に約0.
5μmの厚さの金属構造を造るための、水性DETA結
合フイルムおよびS1400フォトレジストの使用を伴
うフォトレジストマスキング法の使用を実証する。
【0097】トリメトキシシリルプロピルジエチレント
リアミン(構造式(CH3 O)3 Si(CH2 3 NH
(CH2 2 NH(CH2 2 NH2 、以後DETAと
呼ぶ)のフイルムを以下のように、天然酸化物表面を有
するSiウエハー上に形成した。シランの1%(v/
v)溶液を、あらかじめ1×10 -3酢酸で酸性化され
た水にニートのシランを直接加えることによって製造し
た。洗浄した基材は直接フイルム沈着溶液中に移した。
室温における15〜20分間の漬浸の後、基材を取り出
し、無水メタノールで濯ぎ、その後、ホットプレート上
で5分間120℃で焼いた。水接触角測定およびUV分
光学測定により実施例1に記載されたような酸性メタノ
ール水溶液から形成されたEDAフイルムと本質的に同
様の結果が得られた。
【0098】Siウエハーを水性DETAシランで処理
し、無電解ニッケル浴中の浸漬時間が温度約40℃にお
いて3時間であった以外、実施例16でEDAについて
記載したように処理した。光学顕微鏡下のウエハーの検
査により線幅1μmまでの金属構造が示された。
【0099】実施例18:この実施例は、架橋SNR2
48ポリマー性平坦化剤(polymeric pla
narizer)上に金属構造を造るための、水性DE
TA結合フイルムおよびS1400フォトレジストの使
用を伴うフォトレジストマスキング法の使用を実証す
る。
【0100】2枚の清浄な直径4インチのSiウエハー
をヘキサメチルジシラザン(HMDS)を、4000r
pmで30秒間スピンコーティングすることによって塗
布した。SNR248フイルム(厚さ約1μm)を塗布
されたウエハーに4000rpmで30秒間のスピンコ
ーティングによって適用した。SNR248フォトレジ
ストを90℃で30分間真空ホットプレート上で穏やか
に焼き、その後、180℃に4分間加熱することによっ
て熱架橋した。架橋したSNR248フォトレジストは
平坦層として働く。
【0101】ウエハーの内の1つの上で、SNR平坦層
は実施例13に記載したように、RF酸素プラズマへの
100Wでの2分間露光により表面酸化された。水滴を
使用する接触角は最初疎水性(接触角約60〜70°)
のSNR248フイルムがプラズマ酸化の後に親水性
(接触角20°以下)になったことを示した。干渉測定
により、SNR248フィルムの厚さがプラズマ酸化の
後に本質的に変化していない(数nmの範囲内で)こと
が分かった。これは、プラズマがフイルムの外側数nm
内のみの官能基に作用することを示す。
【0102】両方のウエハーをその後、実施例17で記
載したように1%のDETAシラン水溶液で処理した。
シラン化されたウエハーを4000rpmで30秒間の
スピンコーティングでS1400−27フォトレジスト
でコートし、その後、90℃で30分間露光前焼成し
た。レジストでコートしたウエハーを標準UVcont
act aligner上で曲がりくねったマスク(s
erpentine mask)を使用して18秒間5
mW/cm2 で露光した。ウエハーを0.27MF−
312現像剤を使用して60分間現像し、その後、触媒
化溶液で30分間触媒化し、無電解ニッケルで20分間
めっきした。
【0103】所望の曲がりくねったパターンを造る選択
的金属化が両方のウエハー上で観測されたが、プラズマ
酸化されたウエハーは均一構造の生成をウエハー全体に
亘って示し、一方、金属化の均一性の度合いは酸化され
ていないサンプル上で顕著に劣っていた。これは、DE
TAでの架橋SNR248平坦剤の官能化が起こるが、
官能性の度合いはプラズマ処理により増大されることを
示している。
【0104】実施例19:この実施例は、エポキシ誘電
物質上に金属構造を造るための水性DETA結合フイル
ムおよびS1400フォトレジストの使用を伴うフォト
レジストマスキング法の使用を実証する。
【0105】Siウエハーは、SNR248の代りに平
坦層として使用されたShipley Multipo
sit(登録商標)XP91111 ECフォト誘電性
コーティング剤(エポキシベースの内側層誘電性コーテ
ィング剤(厚さ約6μm))を実施例18に記載された
ようにコートした。このウエハーをその後、現像時間が
85秒であったことを除いて実施例18中で記載された
ように処理した(プラズマ酸化し、DETAでシラン化
し、フォトレジストをコートし、露光し、現像し、触媒
化しそして、金属化した)。金属の曲がりくねったパタ
ーンがウエハー全体に均一に作られた。
【0106】実施例20:この実施例はエポキシ誘電性
物質上での熟成されたシランフイルムの使用を伴うフォ
トレジストマスキンング法の使用を実証する。
【0107】エポキシベースの中間層誘電性コーティン
グ剤をコートしたSiウエハーを、DETAコートされ
たエポキシフイルムがクリーンルーム中に3日間フォト
レジストの適用の前に放置されたことを除いて実施例1
9中で記載したように処理した。
【0108】実施例21:この実施例はエポキシ誘電性
物質上に金属構造を造るための水性EDA結合フイルム
およびS1400フォトレジストの使用を伴うフォトレ
ジストマスキング法の使用を実証する。
【0109】エポキシコートされたSiウエハーをED
Aの水溶液(実施例16参照)がシラン化剤として使用
されたことを除いて実施例19のように処理した。得ら
れた結果は実施例19の結果と同じであった。
【0110】実施例22:この実施例はエポキシ平坦層
上に厚い金属フイルムを構築するためのフォトレジスト
マスキング法の使用を実証する。
【0111】エポキシコートされたSiウエハーを、無
電解ニッケル浴中への浸漬時間が22時間であったこと
を除いて実施例19で記載されたように処理した。表面
プロフィロメトリー(surface profilo
metry)で測定した金属コーティングの厚さが約
0.5〜0.55μmであったことを除いて実施例19
の結果と同様の結果が得られた。
【0112】実施例23:この実施例はシリコン上のサ
ブミクロン構造を製造するための水性DETA結合フイ
ルムおよびS1400フォトレジストの使用を伴うフォ
トレジストマスキング法の使用を実証する。
【0113】Siウエハーを実施例17に記載したよう
に水溶液からDETAシランでコートした。S1400
−17フォトレジストをS1400−27をそのShi
pley Thinner Type Aの元の濃度の
63%に希釈することにより製造した。このフォトレジ
ストを、厚さを0.7μmにするために4000rpm
で30秒間スピンコートし、その後90℃で30分間穏
やかに焼いた。このレジストはdeep UV con
tact alignerと高分割能格子マスク(gr
ating mask)を使用して、4〜5秒間4mW
/cm2 で露光した。このレジストを60秒間MF−3
12(0.27)中で現像し、30分間触媒溶液で触
媒化し、無電解ニッケルで20分間金属化し、そしてそ
の後、アセトン中に30秒間浸してストリップした。走
査電子顕微鏡によるウエハーの検査により、マスク上に
存在する最小構造サイズに相当する最小構造サイズ約
0.45μmで均一にウエハーを横切る金属格子構造の
選択的沈着が示された。
【0114】実施例24:この実施例は、光化学的に架
橋されたSNR平坦剤上に金属構造を造るための、水性
DETA結合フイルムおよびS1400フォトレジスト
の使用を伴うフォトレジストマスキング法の使用を実証
する。
【0115】直径4インチのSiウエハーを以下のこと
を除いて実施例18に記載されたものと同じ方法で処理
した:SNR248平坦層を4mW/cm2 のdeep
UVcontact alignerを使用する2分間
の投光露光(floodexposure)によって架
橋し、架橋されたSNR248を実施例18のウエハー
の内の1つに対して記載したようにプラズマ酸化した。
所望の曲がりくねったパターンをつくるための選択的金
属化が両方のウエハーで観察された。光化学架橋が熱架
橋法よりもなめらかなフォトレジスト表面(泡または穴
がより少ない)を造ったことが観察された。
【0116】金属化の後、ウエハーを標準UV con
tact alignerを使用して5mW/cm
2 で、最初露光されていないレジストを露光(可溶性)
形態に転化するために再び1分間投光露光した。残って
いる露光されたフォトレジストをその後、60秒間0.
27 MF−312現像溶液中に浸すことによってス
トリップした。光化学的ストリッピングステップは、水
性ベースの溶液がストリッピング剤として好ましい場合
のアセトンを用いるストリッピングと別の方法を提供す
る。
【0117】実施例25:この実施例は、光化学的に架
橋されたSNR248平坦剤上にサブミクロンの金属構
造を造るためにdeepUV露光を使用する、水性DE
TA結合フイルムとS1400フォトレジストの使用を
伴うフォトレジストマスキング法の使用を実証する。
【0118】直径4インチのSiウエハーを以下のこと
を除いて実施例24に記載したのと同じ方法で処理し
た:(実施例23に記載したような)S1400−17
フォトレジストを使用し、レジストは高分割能格子マス
クを用いて、deepUV contact alig
nerで6〜8秒間4mW/cm2 で露光した。構造サ
イズ0.6μmまでの格子構造を造る選択的金属化がウ
エハー上で観察された。
【0119】実施例26:この実施例は、CVDダイヤ
モンド上の、DETA結合フイルムおよびS1400フ
ォトレジストの使用を伴うフォトレジストマスキング法
の使用を実証する。
【0120】CVDダイヤモンドコーティングを有する
3インチのSiウエハーを、以下のことを除いて実施例
13に記載されたような方法で処理した:シラン化は水
性DETA(実施例17参照)で行い、現像時間は70
秒間であり、ニッケルめっき時間は8時間であった。光
学顕微鏡での検査によって、このウエハーは均一性を示
し、線幅10μmまでの所望の曲がりくねった構造の選
択的金属沈着を示した。
【0121】実施例27:この実施例は、シリコン上に
サブミクロン構造を造るためにi−line proj
ection stepperを使用する、水性DET
A結合フイルムおよびS1400フォトレジストの使用
を伴うフォトレジストマスキング法の使用を実証する。
【0122】Siウエハーは、S1400−17フォト
レジストがGCA0.45NAi−line(365n
m)projection stepperを使用して
露光されたことを除いて実施例23に記載されたように
処理した。露光アレー(exposure arra
y)は高分割能レチクル(reticle)を使用して
約29〜209mJ/cm2 の間の線量で行った。金属
化およびアセトンでのストリッピングの後のウエハーの
検査によりウエハーを横切って均一な金属構造の生成を
示した。最小の分割可能な構造は0.4μmまでの線幅
を有する等しい線/空間の対であった。
【0123】実施例28:この実施例はシリカ上の水性
EDA結合フイルムおよび193nm接触プリンターを
使用するフォトレジスト像中のニッケル沈着を説明す
る。
【0124】シリコンウエハーを実施例16で使用され
たのと同じ水溶液からEDAシランでコートした。ED
A改質ウエハーをその後、ほぼ115nmの厚さのフイ
ルムを造るためにS1400−7フォトレジスト(Sh
ipley CompanyInc.から市販されてい
るノボラックジアゾベースのフォトレジスト)でコート
した。このフォトレジストを、ArFレーザーおよびウ
エハーに強い接触で保持された曲がりくねったマスクを
使用し、20mJ/cm2 の193nm放射で露光し
た。露光したフォトレジスト層をMF312現像剤(ア
ルカリ水溶液)に55秒間浸すことによって現像し、脱
イオン水で濯いだ。このウエハーをAc3触媒で30分
間触媒化し、NI468ニッケルめっき溶液の10%溶
液で22℃で20分間無電解めっきした。非露光レジス
トをその後、アセトンを10秒間スプレーしてストリッ
プした。ウエハーの検査は10ミクロンまでの線幅を有
する金属構造を示した。
【0125】実施例29:この実施例は実施例28と同
様であるが平坦層を使用した。
【0126】シリコンウエハーをノボラックベースの平
坦フイルムで3,000rpmで30秒間のスピンコー
ティングによりコートした。平坦フイルムを100℃で
1分間真空ホットプレートで穏やかに焼き、そしてその
後、140℃で1分間激しく焼いた。平坦フイルムをそ
の後、実施例16で記載したような水溶液からのEDA
シランでコートし、脱イオン水で濯ぎ、そして、120
℃で5分間焼いた。EDA改質平坦化剤を実施例28の
フォトレジストでコートし、193nmの放射で露光
し、現像し、そしてその後、実施例28で説明した方法
を使用して金属化した。このウエハーは10ミクロンま
での線幅を有する曲がりくねった構造を有する金属構造
を示した。15〜20mJ/cm2 の間の193nm放
射の、高分割された格子を介する露光法の繰り返しによ
り1ミクロンまでの線幅の構造が得られた。
【0127】実施例30:この実施例は実施例28と同
様であるが、標準UV露光を使用する。
【0128】シリコンウエハーはノボラック樹脂平坦層
でコートされ、そして実施例29の方法を使用してED
Aでシラン化された。S1400−27フォトレジスト
は平坦層上に厚さ1ミクロンにスピンコートされ、実施
例11に記載したように標準UV contact a
lignerで22秒間5mW/cm2 で露光した。こ
のレジストを現像し、実施例28の方法に従って無電解
ニッケルで金属化した。このウエハーは曲がりくねった
配置および10ミクロンまでの線幅を有する金属構造を
示した。
【0129】実施例31:この実施例は実施例28と同
様であるがdeepUV露光を使用する。
【0130】シリコンウエハーを、実施例29で記載し
たようにノボラック平坦層でコートし、EDAでシラン
化した。S1400−17フォトレジストを平坦層上に
厚さ0.5ミクロンでコートし、deepUV(254
nm)contact alignerに4秒間4mW
/cm2 で高分割能マスクを使用して露光した。このレ
ジストを45秒間現像し、そして、実施例28の方法を
使用する無電解ニッケルで金属化された。残ったフォト
レジストをストリップした。1つの方法において、スト
リッピングはアセトンスプレーを用いた。別の方法にお
いて、ストリッピングはRF酸素プラズマの使用を介し
た。このウエハーは線と空間の幅が1ミクロンまでの線
−空間構造を有する金属構造を示した。
【0131】実施例32:この実施例はi−line露
光を使用する実施例28の方法を繰り返す。
【0132】シリコンウエハーを、実施例29の方法の
後に、ノボラック平坦層でコートし、EDAでシラン化
した。S1400−17フォトレジストの層を平坦層上
に0.5ミクロンの厚さにスピンコートし、i−lin
e(365nm)投影露光器具で10〜160mJ/c
2 の線量で露光した。このレジストを75秒間現像
し、実施例28で記載されたように無電解ニッケルコー
ティングで金属化した。このウエハーは線幅0.6ミク
ロンまでのいろいろなテストパターンの金属構造を示し
た。
【図面の簡単な説明】
【図1】 配位子層でコーティングされた基材を示す図
である。
【図2】 フォトレジストコーティングされた配位子層
でコーティングされた基材を示す図である。
【図3】 めっき触媒処理された、フォトレジストコー
ティングされた配位子層でコーティングされた基材を示
す図である。
【図4】 めっき触媒上に金属めっきを施された、フォ
トレジストコーティングされた配位子層でコーティング
された基材を示す図である。
【図5】 プラズマエッチングにかけられた、めっき触
媒上に金属めっきを施された、フォトレジストコーティ
ングされた配位子層でコーティングされた基材を示す図
である。基材をエッチングするために使用される本発明
方法を示す。
【図6】 めっき触媒上にさらに金属めっきを施され
た、フォトレジストコーティングされた配位子層でコー
ティングされた基材を示す図である。付加的回路形成に
使用される本発明方法を示す。
【符号の説明】
10 基材 11 配位子層 12 フォトレジストコーティング層 13 めっき触媒層 14 めっき金属層
フロントページの続き (71)出願人 594156651 ム−サン・チエン アメリカ合衆国、メリーランド・21042、 エリコツト、マキシン・ストリート・ 10181 (71)出願人 594156662 ウオルター・ジエイ・ドレシツク アメリカ合衆国、メリーランド・20744、 フオート・ワシントン、パーマー・ロー ド・ナンバー・3・908 (71)出願人 594156673 チヤールズ・エス・ドルシー アメリカ合衆国、ワシントン・デイー・シ ー・20009、ワシントン・デイー・シー、 ノース・ウエスト、キユー・ストリート・ 1615 (71)出願人 594156684 ジヤツク・エイチ・ジヨージヤー,ジユニ ア アメリカ合衆国、マサチユーセツツ・ 01520、ホールデン、チヤペル・ストリー ト・126 (71)出願人 594156695 ジヨン・エフ・ボーランド,ジユニア アメリカ合衆国、マサチユーセツツ・ 01503、ベルリン、ゲイツ・ポンド・ロー ド・167 (72)発明者 ギヤリー・エス・キヤラブレーゼ アメリカ合衆国、マサチユーセツツ・ 01845、ノース・アンドバー、ジヨンソ ン・ストリート・84 (72)発明者 ジエフリー・エム・キヤルバート アメリカ合衆国、バージニア・22015、バ ーク、ウイルミントン・ドライブ・6033 (72)発明者 ム−サン・チエン アメリカ合衆国、メリーランド・21042、 エリコツト、マキシン・ストリート・ 10181 (72)発明者 ウオルター・ジエイ・ドレシツク アメリカ合衆国、メリーランド・20744、 フオート・ワシントン、パーマー・ロー ド・ナンバー・3・908 (72)発明者 チヤールズ・エス・ドルシー アメリカ合衆国、ワシントン・デイー・シ ー・20009、ワシントン・デイー・シー、 ノース・ウエスト、キユー・ストリート・ 1615 (72)発明者 ジヤツク・エイチ・ジヨージヤー,ジユニ ア アメリカ合衆国、マサチユーセツツ・ 01520、ホールデン、チヤペル・ストリー ト・126 (72)発明者 ジヨン・エフ・ボーランド,ジユニア アメリカ合衆国、マサチユーセツツ・ 01503、ベルリン、ゲイツ・ポンド・ロー ド・167

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 基材を選択的パターンにパターニングす
    る方法であって、(a)レジストコーティングをもつ製
    造された基材に、そのレジストコーティング中に基材表
    面と開放連通する凹所を規定するレリーフ像と、前記基
    材上で無電解めっき触媒と結合し得る、前記レジストコ
    ーティングの凹所内部の結合基のフイルムとを提供する
    ステップ、(b)少なくとも前記凹所中の結合基と無電
    解めっき触媒の溶液とを接触させるステップ、および、
    (c)触媒化された表面上に金属を沈着して、金属沈着
    を所望の選択的パターンに形成するステップを含む前記
    方法。
  2. 【請求項2】 前記結合基のフイルムが、基材上へのレ
    ジストコーティングの形成のステップの前に形成される
    請求項1記載の方法。
  3. 【請求項3】 前記レジストがフォトレジストであり、
    そのフォトレジストが、基材上の結合基のフイルム上に
    コートされ、所望のパターンとなるように活性化放射に
    露光され、現像されてレリーフ像が得られ、これによっ
    てレジストコーティングの凹所内の結合フイルムがむき
    出しにされるレジストである請求項2記載の方法。
  4. 【請求項4】 前記結合基のフイルムが、基材上の凹所
    をもつレジストコーティングの形成のステップの後に形
    成される請求項1記載の方法。
  5. 【請求項5】 レジスト層の表面に像形成し、このレジ
    スト層を現像してレジスト表面から結合フイルムを剥離
    し、これによって、結合フイルムをレジストコーティン
    グの凹所中だけに残す請求項4記載の方法。
  6. 【請求項6】 基材が平坦層でコートされた電子基材か
    らなり、また結合フイルムが平坦層上にコートされる請
    求項1記載の方法。
  7. 【請求項7】 該平坦層が、基材とよりも結合物質とよ
    り容易に反応する請求項6記載の方法。
  8. 【請求項8】 触媒化された表面上に金属を沈着するス
    テップの前に過剰の触媒を除くステップを含む請求項1
    記載の方法。
  9. 【請求項9】 第2金属を第1金属沈着物の上に沈着さ
    せるステップを含む請求項1記載の方法。
  10. 【請求項10】 金属沈着物の形成後に基材のプラズマ
    エッチングのステップを含む請求項1記載の方法。
  11. 【請求項11】 基材を選択的パターンにパターニング
    する方法であって、基材表面上にコートされた結合物質
    と該結合物質上のパターン化コーティングとを有する基
    材を提供するステップ、ここで前記パターン化コーティ
    ングは、結合物質がその中でむき出しにされた凹所を有
    し、前記結合物質は前記基材に結合されており、且つ本
    質的に窒素、リン、硫黄、酸素およびそれらの混合物か
    らなる群から選択されるドナー原子を含む化学基を有す
    るものである;前記コートされた基材を、前記結合物質
    の化学基と配位結合できる無電解金属触媒と接触させる
    ステップ;および前記基材を無電解めっき溶液と接触さ
    せて基材表面に金属沈着を形成するステップを含む前記
    方法。
  12. 【請求項12】 前記ドナー原子が窒素である請求項1
    1記載の方法。
  13. 【請求項13】 パターン化コーティングが、基材をフ
    ォトレジストでコーティングし、露光し、現像してレリ
    ーフ像を形成することによって形成されたフォトレジス
    トコーティングである請求項11記載の方法。
  14. 【請求項14】 無電解めっき触媒がパラジウム触媒で
    ある請求項11記載の方法。
  15. 【請求項15】 基材が平坦層をコートした電子基材で
    ある請求項11記載の方法。
  16. 【請求項16】 めっき金属が、コバルト、ニッケル、
    銅、金、白金、パラジウムおよびそれらの合金からなる
    群から選択される請求項11記載の方法。
  17. 【請求項17】 金属沈着物の形成後に基材のエッチン
    グのステップを含む請求項11記載の方法。
  18. 【請求項18】 沈着した第1金属の上に第2金属をめ
    っきするステップを含む請求項11記載の方法。
  19. 【請求項19】 基材と、基材部分上の選択的パターン
    の金属コーティングとを含み、前記金属コーティングが
    前記基材上にコートされた層の凹所中に限局され、且つ
    前記基材に触媒化結合物質の中間層を介して結合されて
    いることを特徴とする製造物品。
  20. 【請求項20】 前記触媒化結合物質が、結合物質に配
    位結合した無電解めっき触媒を含む請求項19記載の物
    品。
  21. 【請求項21】 前記結合物質が、本質的に窒素、リ
    ン、硫黄、酸素およびそれらの混合物からなる群から選
    択されるドナー原子を有する化学基を含む請求項19記
    載の物品。
  22. 【請求項22】 ドナー原子が窒素原子である請求項2
    1記載の物品。
  23. 【請求項23】 凹所をつけられた層が有機コーティン
    グ物質である請求項19記載の物品。
  24. 【請求項24】 有機コーティング物質がフォトレジス
    トである請求項23記載の物品。
  25. 【請求項25】 基材が平坦層でコートされた電子基材
    からなる請求項19記載の物品。
  26. 【請求項26】 電子基材が集積回路である請求項19
    記載の物品。
  27. 【請求項27】 選択的パターンの金属が回路パスウエ
    イである請求項19記載の物品。
  28. 【請求項28】 選択的パターンの金属がviaである
    請求項19記載の物品。
  29. 【請求項29】 選択的パターンの金属がエッチマスク
    である請求項19記載の物品。
  30. 【請求項30】 選択的パターンの金属がリソグラフマ
    スクである請求項19記載の物品。
JP6224208A 1993-08-25 1994-08-25 選択的金属化法 Pending JPH07166372A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US111,640 1993-08-25
US08/111,640 US5468597A (en) 1993-08-25 1993-08-25 Selective metallization process

Publications (1)

Publication Number Publication Date
JPH07166372A true JPH07166372A (ja) 1995-06-27

Family

ID=22339624

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6224208A Pending JPH07166372A (ja) 1993-08-25 1994-08-25 選択的金属化法

Country Status (2)

Country Link
US (2) US5468597A (ja)
JP (1) JPH07166372A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999059386A1 (fr) * 1998-05-14 1999-11-18 Seiko Epson Corporation Substrat pour la formation de motifs speciaux et procede de fabrication d'un tel substrat
JP2000212757A (ja) * 1999-01-20 2000-08-02 Agency Of Ind Science & Technol パラジウム触媒付着方法
JP2001335951A (ja) * 2000-05-26 2001-12-07 Murata Mfg Co Ltd 導体の形成方法および電子部品
JP2007084850A (ja) * 2005-09-20 2007-04-05 Daiwa Fine Chemicals Co Ltd (Laboratory) 回路パターン形成方法
JP5643911B1 (ja) * 2013-08-09 2014-12-17 キヤノン・コンポーネンツ株式会社 金属皮膜付基板を製造する方法、金属皮膜付基板、及び配線板
JP2015089951A (ja) * 2013-11-05 2015-05-11 キヤノン・コンポーネンツ株式会社 金属皮膜付物品及びその製造方法並びに配線板
WO2018079057A1 (ja) * 2016-10-27 2018-05-03 東京エレクトロン株式会社 めっき処理方法、めっき処理装置及び記憶媒体

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171468B1 (en) 1993-05-17 2001-01-09 Electrochemicals Inc. Direct metallization process
US6303181B1 (en) 1993-05-17 2001-10-16 Electrochemicals Inc. Direct metallization process employing a cationic conditioner and a binder
US5725807A (en) 1993-05-17 1998-03-10 Electrochemicals Inc. Carbon containing composition for electroplating
US6710259B2 (en) 1993-05-17 2004-03-23 Electrochemicals, Inc. Printed wiring boards and methods for making them
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5667922A (en) * 1996-04-26 1997-09-16 Allegro Microsystems, Inc. Method of semiconductor fabrication utilizing rinse of polyimide film
US6114099A (en) * 1996-11-21 2000-09-05 Virginia Tech Intellectual Properties, Inc. Patterned molecular self-assembly
TW392229B (en) * 1997-01-23 2000-06-01 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device and apparatus for same
JP3961092B2 (ja) * 1997-06-03 2007-08-15 株式会社東芝 複合配線基板、フレキシブル基板、半導体装置、および複合配線基板の製造方法
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5980998A (en) * 1997-09-16 1999-11-09 Sri International Deposition of substances on a surface
US6174647B1 (en) * 1998-01-26 2001-01-16 Shipley Company, L.L.C. Metallization process and component
US6194127B1 (en) 1998-05-27 2001-02-27 Mcdonnell Douglas Corporation Resistive sheet patterning process and product thereof
US6146716A (en) * 1998-06-26 2000-11-14 Sri International Conservatively printed displays and methods relating to same
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6277544B1 (en) * 1999-06-09 2001-08-21 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor spaces
US6221777B1 (en) 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
US6436615B1 (en) * 1999-06-25 2002-08-20 The United States Of America As Represented By The Secretary Of The Navy Methods and materials for selective modification of photopatterned polymer films
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6265075B1 (en) 1999-07-20 2001-07-24 International Business Machines Corporation Circuitized semiconductor structure and method for producing such
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
DE10015214C1 (de) * 2000-03-27 2002-03-21 Infineon Technologies Ag Verfahren zur Metallisierung eines Isolators und/oder eines Dielektrikums
US6916741B2 (en) * 2000-05-08 2005-07-12 Shipley Company, L.L.C. Capture compounds for electronic plating compositions and electronic packaging device manufacture
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US20020079487A1 (en) * 2000-10-12 2002-06-27 G. Ramanath Diffusion barriers comprising a self-assembled monolayer
US6835534B2 (en) * 2000-10-27 2004-12-28 The Penn State Research Foundation Chemical functionalization nanolithography
US6541309B2 (en) * 2001-03-21 2003-04-01 Hewlett-Packard Development Company Lp Fabricating a molecular electronic device having a protective barrier layer
US6716571B2 (en) * 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US6815359B2 (en) * 2001-03-28 2004-11-09 Advanced Micro Devices, Inc. Process for improving the etch stability of ultra-thin photoresist
US6774365B2 (en) * 2001-03-28 2004-08-10 Advanced Micro Devices, Inc. SEM inspection and analysis of patterned photoresist features
US6586158B2 (en) * 2001-05-25 2003-07-01 The United States Of America As Represented By The Secretary Of The Navy Anti-charging layer for beam lithography and mask fabrication
US7614145B2 (en) * 2001-09-05 2009-11-10 Zeon Corporation Method for manufacturing multilayer circuit board and resin base material
JP2005504698A (ja) * 2001-09-07 2005-02-17 ボーデン ケミカル インコーポレイテッド 接着促進剤を用いた被覆光ファイバと、その製造方法及び使用方法
US7862860B2 (en) * 2001-11-29 2011-01-04 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
US7087267B2 (en) * 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
KR20050003463A (ko) * 2002-05-17 2005-01-10 이 아이 듀폰 디 네모아 앤드 캄파니 컬러 필터의 열 인쇄를 위한 평탄화 소자
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
US6797312B2 (en) * 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process
JP2004311957A (ja) * 2003-03-26 2004-11-04 Seiko Epson Corp デバイスとその製造方法及び電気光学装置並びに電子機器
US6887776B2 (en) * 2003-04-11 2005-05-03 Applied Materials, Inc. Methods to form metal lines using selective electrochemical deposition
US7026716B2 (en) * 2003-06-06 2006-04-11 Rensselaer Polytechnic Institute Self-assembled sub-nanolayers as interfacial adhesion enhancers and diffusion barriers
JP2005022956A (ja) * 2003-07-02 2005-01-27 Rohm & Haas Electronic Materials Llc セラミックの金属化
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7253002B2 (en) * 2003-11-03 2007-08-07 Advanced Technology Materials, Inc. Fluid storage and dispensing vessels having colorimetrically verifiable leak-tightness, and method of making same
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP3879856B2 (ja) * 2004-03-30 2007-02-14 セイコーエプソン株式会社 配線基板の製造方法及び電子デバイスの製造方法
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060257785A1 (en) * 2005-05-13 2006-11-16 Johnson Donald W Method of forming a photoresist element
CN101185027B (zh) * 2005-05-20 2011-11-30 富士胶片株式会社 接枝图案形成方法和导电图形成方法
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7678704B2 (en) * 2005-12-13 2010-03-16 Infineon Technologies Ag Method of making a contact in a semiconductor device
US7416991B2 (en) * 2006-05-11 2008-08-26 Hitachi Global Storage Technologies Netherlands B. V. High resolution patterning of surface energy utilizing high resolution monomolecular resist for fabrication of patterned media masters
US8713769B2 (en) * 2007-03-10 2014-05-06 Sanmina-Sci Corporation Embedded capacitive stack
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP5707709B2 (ja) * 2009-03-23 2015-04-30 富士電機株式会社 半導体装置の製造方法
US8974860B2 (en) * 2009-06-19 2015-03-10 Robert Hamilton Selective deposition of metal on plastic substrates
TWI405869B (zh) * 2009-12-17 2013-08-21 Perusetech Company Substrate with metal layer and method for manufacturing the same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102752962B (zh) * 2011-04-21 2014-11-05 明阅科技有限公司 具金属层的底材及其制造方法
JP5835947B2 (ja) * 2011-05-30 2015-12-24 セーレン株式会社 金属膜パターンが形成された樹脂基材
US9088074B2 (en) 2011-07-14 2015-07-21 Nuvotronics, Llc Hollow core coaxial cables and methods of making the same
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014187190A (ja) * 2013-03-22 2014-10-02 Toshiba Corp 半導体装置の製造方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015076358A1 (ja) * 2013-11-21 2015-05-28 株式会社ニコン 配線パターンの製造方法およびトランジスタの製造方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305807B2 (en) * 2014-02-27 2016-04-05 Palo Alto Research Center Incorporated Fabrication method for microelectronic components and microchip inks used in electrostatic assembly
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6404174B2 (ja) * 2015-04-16 2018-10-10 東京エレクトロン株式会社 めっき処理方法、記憶媒体およびめっき処理システム
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108884568B (zh) * 2016-03-31 2020-06-02 富士胶片株式会社 导电性层叠体的制造方法、层叠体及导电性层叠体
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154294A1 (en) * 2019-01-22 2020-07-30 Averatek Corporation Pattern formation using catalyst blocker
US11501967B2 (en) * 2019-02-06 2022-11-15 Intel Corporation Selective metal deposition by patterning direct electroless metal plating
US20230197508A1 (en) * 2021-12-17 2023-06-22 Applied Materials, Inc. Self-assembled monolayer for selective deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5233067A (en) * 1990-05-08 1993-08-03 Rogers Corporation Metallized polymeric substrates
US5158860A (en) * 1990-11-01 1992-10-27 Shipley Company Inc. Selective metallization process
JPH05202483A (ja) * 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999059386A1 (fr) * 1998-05-14 1999-11-18 Seiko Epson Corporation Substrat pour la formation de motifs speciaux et procede de fabrication d'un tel substrat
US6733868B1 (en) 1998-05-14 2004-05-11 Seiko Epson Corporation Substrate for forming specific pattern, and method for manufacturing same
JP2000212757A (ja) * 1999-01-20 2000-08-02 Agency Of Ind Science & Technol パラジウム触媒付着方法
JP2001335951A (ja) * 2000-05-26 2001-12-07 Murata Mfg Co Ltd 導体の形成方法および電子部品
JP2007084850A (ja) * 2005-09-20 2007-04-05 Daiwa Fine Chemicals Co Ltd (Laboratory) 回路パターン形成方法
JP4628914B2 (ja) * 2005-09-20 2011-02-09 株式会社大和化成研究所 回路パターン形成方法
JP5643911B1 (ja) * 2013-08-09 2014-12-17 キヤノン・コンポーネンツ株式会社 金属皮膜付基板を製造する方法、金属皮膜付基板、及び配線板
JP2015089951A (ja) * 2013-11-05 2015-05-11 キヤノン・コンポーネンツ株式会社 金属皮膜付物品及びその製造方法並びに配線板
WO2018079057A1 (ja) * 2016-10-27 2018-05-03 東京エレクトロン株式会社 めっき処理方法、めっき処理装置及び記憶媒体
US11028483B2 (en) 2016-10-27 2021-06-08 Tokyo Electron Limited Plating method, plating apparatus and recording medium

Also Published As

Publication number Publication date
US5510216A (en) 1996-04-23
US5468597A (en) 1995-11-21

Similar Documents

Publication Publication Date Title
JPH07166372A (ja) 選択的金属化法
EP0510711B1 (en) Processes and compositions for electroless metallization
US5389496A (en) Processes and compositions for electroless metallization
US6210781B1 (en) Method for photoselective seeding and metallization of three-dimensional materials
US5079600A (en) High resolution patterning on solid substrates
US5077085A (en) High resolution metal patterning of ultra-thin films on solid substrates
US5158860A (en) Selective metallization process
JP3010678B2 (ja) 金属マスク集積によるプラズマ処理方法
US5648201A (en) Efficient chemistry for selective modification and metallization of substrates
US6316059B1 (en) Method of providing a metal pattern on glass in an electroless process
Chen et al. Channel‐Constrained Electroless Metal Deposition on Ligating Self‐Assembled Film Surfaces
Dressick et al. Proximity x-ray lithography of siloxane and polymer films containing benzyl chloride functional groups
JP2000073176A (ja) シリルハイドライド機能性樹脂上への非電解金属析出法
JPS6331555B2 (ja)
EP1323721A2 (en) Organic metal precursor for use in forming metal-containing patterned films
JP2000147792A (ja) パターン形成方法
EP0729293B1 (en) Selective metallization process
KR100765684B1 (ko) 합금 패턴 형성용 유기금속 전구체 혼합물 및 이를 이용한합금 패턴 형성방법
EP0577187B1 (en) Method of providing a metal pattern on glass in an electroless process
JP3675768B2 (ja) 複合部材の製造方法及び複合部材形成用多孔質基材並びに複合部材形成用感光性化合物及び複合部材形成用組成物
Ma et al. Application of Electroless Nickel− Boron Films for High-Selectivity Pattern Transfer Processes
JP2874587B2 (ja) レジストパターンの形成方法
JPS60231388A (ja) 基板の活性化方法
JP3161407B2 (ja) 無電解めっきのための活性化触媒液、および無電解めっき方法
JP2003133696A (ja) 金属配線基板および金属配線基板の製造方法