JPH04326726A - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JPH04326726A
JPH04326726A JP3123029A JP12302991A JPH04326726A JP H04326726 A JPH04326726 A JP H04326726A JP 3123029 A JP3123029 A JP 3123029A JP 12302991 A JP12302991 A JP 12302991A JP H04326726 A JPH04326726 A JP H04326726A
Authority
JP
Japan
Prior art keywords
etching
ecr
wafer
material layer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3123029A
Other languages
English (en)
Other versions
JP3000717B2 (ja
Inventor
Tetsuya Tatsumi
哲也 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP3123029A priority Critical patent/JP3000717B2/ja
Priority to KR1019920006172A priority patent/KR100225552B1/ko
Priority to US07/874,114 priority patent/US5266154A/en
Publication of JPH04326726A publication Critical patent/JPH04326726A/ja
Application granted granted Critical
Publication of JP3000717B2 publication Critical patent/JP3000717B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
オーバーエッチング時の過剰ラジカルの影響による対下
地選択性と異方性の劣化を防止する方法に関する。
【0002】
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置のデザイン・ルールが高度に微細化さ
れるに伴い、ドライエッチングの分野においても対下地
選択性の高い条件で被エッチング材料層をエッチングす
ることが極めて重要な技術となっている。たとえば、M
OS−FETのゲート電極加工においては、酸化シリコ
ン(SiO2 )からなる薄いゲート絶縁膜に対して高
選択比がとれる条件で多結晶シリコン層やポリサイド膜
等のシリコン(Si)系材料層をエッチングすることが
必要となる。また、半導体基板内に形成された不純物拡
散領域や、SRAMの抵抗負荷素子として用いられるP
MOSトランジスタのソース・ドレイン領域等にコンタ
クトを形成しようとする場合等には、シリコン基板や多
結晶シリコン層等のSi系材料層に対して高選択比がと
れる条件でSiO2 層間絶縁膜をエッチングすること
が必要となる。しかし、ドライエッチングにおいて対下
地選択性,異方性,エッチング速度,低汚染性,低ダメ
ージ性等の諸条件は相互にトレード・オフの関係にある
ため、実際のプロセスではこれらの条件を実用レベルで
許容できる範囲に適宜調整しながらエッチングを行って
いるのが実情である。
【0003】従来、単結晶シリコン,多結晶シリコン,
高融点金属シリサイド,ポリサイド等のSi系材料層の
エッチングには、フロン113(C2 Cl3 F3 
)等に代表されるフロン(クロロフルオロカーボン)系
ガス、あるいは高速化を図るためにこれにSF6 等を
添加した混合ガス等がエッチング・ガスとして広く用い
られてきた。フロン系ガスは1分子内にFとClとを構
成元素として有するため、ラジカル反応とイオン・アシ
スト反応の両方の寄与によるエッチングが可能であり、
かつ気相中から堆積する炭素系ポリマーで側壁保護を行
いながら高異方性を達成することができるからである。
【0004】一方、SiO2 系材料層のエッチング・
ガスとしては、CHF3 ガス、CF4/H2 混合ガ
ス、C2 F6 /CHF3 混合ガス、C3 F8等
が代表的なものである。これらのガス系に共通する作用
は、(a)ガス系に含まれるCがSiO2 層の表面で
C−Oを結合を生成し、Si−O結合を切断したり弱め
たりする働きがある、(b)SiO2 のエッチング種
であるCFx + を生成する、さらに(c)プラズマ
中で相対的に炭素に富む状態が作り出されるので、Si
O2 中の酸素がCOまたはCO2 の形で除去される
一方、Si上では炭素系ポリマーが堆積してエッチング
速度が低下し、Siに対する高選択比が得られること等
である。
【0005】しかしながら、Si系材料層の代表的なエ
ッチング・ガスであるフロン系ガスは、周知のように地
球のオゾン層破壊の元凶であることが指摘されており、
近い将来に製造および使用が禁止される運びである。し
たがって、ドライエッチングの分野においても、これら
の堆積性カーボン系ガスの代替品となり得るエッチング
ガス、およびその使用技術の開発が急務とされている。 また、異方性を達成するために堆積性カーボン系ガスを
使用するプロセスでは、半導体装置のデザイン・ルール
が今後さらに微細化されると、気相中から堆積する炭素
ポリマーがパーティクル汚染源となることも考えられる
。たとえば、フロン113/SF6 混合ガスによるS
i系材料層のエッチングでは、過剰ラジカルによる異方
性形状の劣化や対下地選択性の低下を防ぐためにオーバ
ーエッチング時にフロン113の流量比を高めることが
行われてきた。しかし、このように堆積性ガスの使用量
をプロセスの途中で増やすことは、パーティクル汚染の
増大を招く。また、ガスの組成をプロセスの途中で変更
すると、放電条件の安定化に時間を要し、制御性やスル
ープットを低下させる原因ともなる。
【0006】本願出願人は、かかる問題を解決するため
の種々の技術をこれまでに提案しているが、これらの技
術には大別すると、堆積性カーボン系ガスを使用せず炭
素系ポリマー以外の側壁保護物質を使用するアプローチ
と、炭素系ポリマーの生成効率を高めて堆積性カーボン
系ガスの使用量を極力減らすアプローチとがある。
【0007】前者の炭素系ポリマー以外の側壁保護物質
を使用するアプローチとしては、イオウ(S)の堆積に
より側壁保護を行う一連の技術を数多く提案している。 このSの堆積は、1分子中のハロゲン(X)原子数とS
原子数との比、すなわちX/S比が比較的小さいハロゲ
ン化イオウを主体とするエッチング・ガスを使用するこ
とにより可能となる。たとえば、特願平2−19804
5号明細書には、かかるハロゲン化イオウとしてS2 
F2 ,SF2 ,SF4 ,S2 F10を開示した
。これらのフッ化イオウは、同じくフッ化イオウでも従
来から最も良く知られているSF6 とは異なり、放電
解離により気相中にSを生成することができる。このS
は、基板が低温冷却されていればその表面へ堆積し、側
壁保護効果を発揮する。しかも、堆積したSはエッチン
グ終了後に基板を加熱すれば容易に昇華除去できるため
、パーティクル汚染を惹起させる虞れもない。本願出願
人は、これらフッ化イオウからのF* (フッ素ラジカ
ル)生成量がSF6 と比べて少なく、しかもSFx 
+ によるイオン・アシスト反応が期待できる点に着目
し、これを酸化シリコン系材料層のエッチングに適用し
てシリコン下地に対する高選択性を達成した。
【0008】このように、ハロゲン化イオウとして最初
に提案された化合物はF/S比の比較的小さいフッ化イ
オウであり、それはSiO2 系材料層のエッチングを
目的としていた。本願出願人はその後、ハロゲン化イオ
ウをSi系材料層のエッチングに適用する技術も種々提
案している。たとえば、特願平2−199249号明細
書では、被エッチング基板を0℃以下に冷却した状態で
S2 Cl2 等の塩化イオウもしくはS2 Br2 
等の臭化イオウを含むガスを使用してSi系材料を低温
エッチングする技術を開示している。これは、反応性の
高いF* を発生し得ないガスを使用することにより、
ラジカルの影響を低減し、より有利に高異方性を達成し
ようとしたものである。
【0009】さらに、エッチング・ガス系にラジカルを
消費し得るようなHやSiを共存させることにより、フ
ッ化イオウをSi系材料層のエッチングにも適用可能と
した技術もある。たとえば、特願平3−20360号明
細書には、S2 F2 等のフッ化イオウやS2 Cl
2 等の塩化イオウにH2 ,H2 S,シラン等のガ
スを添加し、過剰なF* ,Cl* 等のラジカルをH
F,HCl,SiFx ,SiClx 等の形で系外へ
除去する技術を提案している。
【0010】また、後者の堆積性カーボン系ガスの使用
量を極力減らすアプローチとしては、炭素性ポリマーの
生成効率に優れる高次フルオロカーボン系ガスを使用し
てSiO2 系材料層をエッチングする技術を提案して
いる。たとえば、特願平2−295225号明細書では
鎖状の高次不飽和フルオロカーボン系ガスを、また特願
平3−40996号明細書では環状の飽和または不飽和
フルオロカーボン系ガスを提案している。これらのフル
オロカーボン系ガスは、1分子から2個以上のCFx 
+ を生成して高速エッチングに寄与する他、プラズマ
中における炭素骨格の切断により重合に有利な化学種を
生成するので効率良い炭素系ポリマーの生成を可能とす
るのである。
【0011】
【発明が解決しようとする課題】上述のように、本願出
願人がこれまでに提案した各種の技術によれば、クリー
ンなエッチングを実現する観点からは従来技術に比べて
格段に優れた成果が得られた。しかし、オーバーエッチ
ング時において充分に高い対下地選択性を向上させるた
めには、より一層の工夫が必要であることが判明してき
た。たとえば、上述のS2 F2 をSi系材料層のエ
ッチング・ガスとしてゲート電極加工を行おうとする場
合、極めて反応性に富むF* が主エッチング種となっ
ているので、SiO2 からなるゲート絶縁膜に対して
オーバーエッチング時にも高選択性を維持することは困
難である。これは、原子間結合エネルギーの値がSi−
O結合では111kcal/molであるのに対し、S
i−F結合では132kcal/molと大きいことか
らも理解される。
【0012】Si系材料層を下地としてSiO2 系材
料層のエッチングを行う場合には、対下地選択性の確保
はより逼迫した問題となる。それは、Si−Si結合の
原子間結合エネルギーの値が54kcal/molであ
り、Si−F結合やSi−Cl結合(96kcal/m
ol)のそれより遙かに小さいからである。つまり、S
i系材料層は、イオン照射が無くても自発的にエッチン
グされてしまうほど、F* やCl* の攻撃を受け易
いのである。
【0013】また、オーバーエッチング時の過剰なラジ
カルは、対下地選択性の低下のみならず、パターンの異
方性形状を劣化させる原因となる。オーバーエッチング
時には被エッチング材料層の面積が大幅に減少するので
、結合の相手を失ったラジカルが被エッチング基板(ウ
ェハ)の表面で側方マイグレーションを起こし、形成さ
れたパターンの側壁部を攻撃して異方性形状を劣化させ
る。特に、ポリサイド膜のようにエッチング特性の異な
る2種類の材料層が積層されている場合、下層側の多結
晶シリコン層のエッチング速度が上層側の高融点金属シ
リサイド層のそれに比べて速いために、アンダカット等
の形状異常が発生し易い。そこで本発明は、ラジカルが
相対的に過剰となるオーバーエッチング時にも対下地選
択性および異方性の劣化を効果的に防止し、しかもこれ
をエッチング・ガスの組成を変更するなく実現するドラ
イエッチング方法を提供することを目的とする。
【0014】
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
であり、ECRポジションの下流側において処理チャン
バの内壁部の少なくとも一部がSi系材料層により被覆
されてなり、かつ該ECRポジションと被エッチング基
板間の距離を可変となし得るECRプラズマ装置を使用
し、前記ECRポジションに相対的に近い位置に前記被
エッチング基板を保持しながら被エッチング材料層のエ
ッチングを行った後、前記ECRポジションよりも相対
的に遠い位置に前記被エッチング基板を保持しながらオ
ーバーエッチングを行うことを特徴とするものである。
【0015】
【作用】本発明者は、エッチング・ガスの組成を変更す
ることなくオーバーエッチング時のラジカルの影響を低
減させるためには、プラズマ・エッチング装置の選択、
構成、およびその使用方法に工夫を要するとの認識に立
って検討を進めた。本発明はその際の知見にもとづいて
おり、プラズマ・エッチング装置としては、ECRポジ
ションとウェハとの位置関係によりラジカルの影響を低
減できるECRプラズマ装置を選択し、ECRプラズマ
の下流側において処理チャンバの内壁部の一部に過剰な
ラジカルを消費し得るSi系材料層を設けるという構成
上の工夫を行い、さらに被エッチング基板(ウェハ)の
昇降によりECRプラズマと上記Si系材料層との接触
面積を変化させることをポイントとする。
【0016】ECRプラズマ装置は、磁界中でローレン
ツの力により円運動をしている電子のサイクロトロン角
周波数ωc がマイクロ波電界の角周波数ωと一致した
時(ECR条件の成立)に、電子が共鳴的にマイクロ波
エネルギーを吸収して生成するプラズマを各種の処理に
利用する装置である。サイクロトロン角周波数ωc は
式ωc =eB/m(ただし、eは電子の電荷、mは電
子の質量、Bは磁束密度を表す。)で与えられ、2.4
5GHzのマイクロ波を導入した場合には875Gau
ssの磁束密度が得られる点においてECR条件が成立
する。 このECR条件が成立する点はECRポジションと呼ば
れており、通常のECRプラズマ装置中では点状のごく
限られた領域である。ECRポジションでは、ECRプ
ラズマ中で最もイオン電流密度が高くなっており、また
イオンの方向性も揃っている。
【0017】ECRポジションの形成される位置は装置
構成,マイクロ波パワー、磁界を発生させるソレノイド
・コイルの位置、磁束密度等により一義的に決まってお
り、またその周囲に広がるECRプラズマの高密度領域
も上述の要素によりほぼ決定される。ECRプラズマの
広がりはウェハによってもある程度制限されるが、EC
Rポジションとウェハの距離が離れることにより伸びる
部分は主として低密度のアフタ・グロー領域である。し
たがって、ECRプラズマ中では、ウェハがECRポジ
ションに近づくほど高密度のラジカルや方向性の揃った
イオンにより高速な異方性加工が可能となる。逆に、ウ
ェハがECRポジションから遠ざかれば、発散磁界によ
り散乱されてイオンの方向性は低下する。またイオンに
比べて寿命の短いラジカルはウェハに到達するまでに消
失し易くなるので、ラジカルの影響が低減される。
【0018】以上はECRプラズマの一般的な特性であ
るが、本発明ではウェハがECRポジションから遠ざか
った際のラジカルの影響を一層効果的に低減させるため
に、ECRポジションの下流側にラジカルを消費できる
Si系材料層を設けた。さらに、上記ECRプラズマ装
置には、ECRポジションとウェハとの距離を可変とな
し得る機構を設けた。上記Si系材料層は、対下地選択
性の低下の原因となるラジカルを捕捉して蒸気圧の高い
反応生成物を生成し、これをエッチング反応系外へ除去
することができる。たとえば、F* はSiFx 、C
l* はSiClx ,H* はSiHx (いずれも
xは1〜4の整数を表す。)等の形でそれぞれ除去され
る。
【0019】かかる構成において、本発明ではまずウェ
ハをECRポジションに近づけ、高密度のラジカルと方
向性の揃ったイオンにより被エッチング材料層を高速か
つ異方的にエッチングする。ここで、ECRプラズマの
下端はウェハ位置により制限されるので、ウェハが充分
にECRポジションに近く保持されていれば、ECRプ
ラズマは処理チャンバの側壁部に設けられたSi系材料
層とほとんど接触することがない。したがって、ラジカ
ルがSi系材料層により消費される割合は少ない。一方
、オーバーエッチング時にはラジカルの影響を極力低減
させたいので、ウェハをECRポジションから遠ざける
。これにより、寿命の短いラジカルのウェハへの到達量
を減少できることはもちろんであるが、ECRプラズマ
のアフタ・グロー領域がウェハ側へ伸びることにより該
ECRプラズマと上記シリコン系材料層との接触面積が
増大し、ラジカルが消費される割合が増大する。したが
って、対下地選択性を向上させることができる。
【0020】
【実施例】以下、本発明の具体的な実施例について説明
する。ここで、実際のエッチング・プロセスの説明に先
立ち、まず本発明を実施するにあたり使用したRFバイ
アス印加型の有磁場マイクロ波プラズマ・エッチング装
置(以下、ECRエッチング装置と称する。)の一構成
例、およびその使用上の工夫について図1(a)および
(b)を参照しながら説明する。この装置は、2.45
GHzのマイクロ波を発生するマグネトロン1、マイク
ロ波を導く矩形導波管2および円形導波管3、上記マイ
クロ波を利用してECR放電により内部でECRプラズ
マPを生成させるための石英製のベルジャー4、上記円
形導波管3と上記ベルジャー4を周回するように配設さ
れ875Gaussの磁束密度を達成できるソレノイド
・コイル5、エッチング・ガスを矢印B方向から上記ベ
ルジャー4へ供給するガス導入管6、ウェハ7を載置す
るウェハ載置電極8、該ウェハ載置電極8にRFバイア
スを印加するためのRF電源10等から構成されている
。上記ベルジャー4の内部は、図示されない真空系統に
より排気孔13を介して高真空排気されている。
【0021】以上がECRエッチング装置の一般的な構
成要素であるが、本発明で使用する装置においては以下
の工夫が加えられている。まず、上記ベルジャー4の内
壁部のうちガス導入管6より下側の部位にはSi系材料
層12が設けられている。ただし、このSi系材料層1
2の配設部位はECRポジション11の少なくとも下流
側であれば図示される例に限られるものではなく、場合
によってはECRポジション11を含んでいても良い。 また、上記Si系材料層12はベルジャー4の内壁部に
おいて高さ方向の一部を連続的に周回している必要はな
く、たとえばブロック状やウェハ状の固体を内壁部に貼
り付けた構成を有するものであっても良い。上記Si系
材料層12の具体的な配設方法としては、ベルジャー4
の内壁部にCVD法等によりアモルファス・シリコン層
や多結晶シリコン層を直接に成膜すること、シリコン・
カーバイド材を適当な形状に加工して貼り付けること、
単結晶シリコンからなるダミー・ウェハを貼り付けるこ
と等が考えられる。後述の各実施例では、単結晶シリコ
ンのダミー・ウェハを使用した。
【0022】また、上記ウェハ載置電極8は図示されな
い昇降手段に接続され、図中矢印D1 方向に上昇もし
くは矢印D2 方向に下降するようになされている。こ
の昇降により、ECRプラズマP中のECRポジション
11とウェハ7との距離を変化させることができる。さ
らに、上記ウェハ載置電極8には、低温エッチングを可
能とするために冷却配管9が埋設されている。この冷却
配管9には、装置外部に配設される図示されないチラー
等の冷却設備から冷媒が導入され、図中矢印C1 ,C
2 方向に循環されるようになされている。
【0023】本発明における上記ECRエッチング装置
の使用方法は、以下のとおりである。まず、被エッチン
グ材料層をほぼその層厚分だけエッチングする(以下、
ジャスト・エッチングと称する。)までの過程では、図
1(a)に示されるように上記ウェハ載置電極8を矢印
D1 方向に上昇させてウェハ7をECRポジション1
1に近接した状態に保持し、上記ガス導入管6からエッ
チング・ガスを上記ベルジャー4内へ供給してマイクロ
波放電を行わせる。このとき、ECRポジション11よ
りも下側のECRプラズマPの広がりは、ウェハ7およ
びウェハ載置電極8により制限されるので、ウェハ7は
比較的高い密度のラジカルと方向性の揃ったイオンに曝
される。したがって、異方性エッチングが高速に進行す
る。
【0024】一方、オーバーエッチングを行う際には、
図1(b)に示されるように、ウェハ載置電極8を矢印
D2 方向に下降させてウェハ7をECRポジション1
1から遠隔させた状態に保持する。このとき、ECRポ
ジション11の空間位置は不変であるが、ECRプラズ
マPのアフタ・グロー領域は下降したウェハ7の表面付
近まで伸びてくる。しかし、この領域はラジカル密度が
低く、また発散磁界の作用によりイオンの方向性も低下
している。しかも、ウェハ7が下降した状態ではECR
プラズマPの一部が上記Si系材料層12と接触し、ラ
ジカルの一部はSiに捕捉されて蒸気圧の高いSi化合
物に変化し、排気孔13を介してエッチング反応系外へ
除去される。したがって、過剰ラジカルによる下地のエ
ッチングが防止される。以下、上述のECRエッチング
装置を使用した実際のプロセス例について説明する。
【0025】実施例1 本実施例は、本発明をゲート加工に適用し、S2 F2
 をエッチング・ガスとして供給しながら多結晶シリコ
ン層のエッチングおよびオーバーエッチングを行った例
である。まず、単結晶シリコン基板上にSiO2 から
なるゲート絶縁膜を介してn+ 型不純物を含有する多
結晶シリコン層が形成され、さらに所定の形状にパター
ニングされたレジスト・マスクが形成されてなるウェハ
7を用意した。このウェハ7をウェハ載置電極8上にセ
ットし、図1(a)に示されるようにECRポジション
11に近接した位置に保持した。また、冷却配管9には
チラーからエタノール冷媒を供給し、ウェハ7の温度を
0℃に維持した。この状態で、S2 F2 流量5SC
CM,ガス圧1.3Pa(10mTorr),マイクロ
波パワー850W,RFバイアス・パワー50W(2M
Hz)の条件で多結晶シリコン層のエッチングをジャス
ト・エッチング状態まで行った。
【0026】ここで使用されたS2 F2 は、本願出
願人が先に特願平2−198045号明細書においてS
iO2 系材料層のエッチング・ガスとして初めて提案
した4種類のフッ化イオウのうちのひとつである。S2
 F2 から放電解離により生成するF* は多結晶シ
リコン層のエッチングに寄与し、同じく気相中に生成す
るSはパターン側壁部に堆積して側壁保護効果を発揮し
た。さらに、S2 F2 からはS+ ,SFx + 
,F+ 等のイオンも生成し、これらがECRポジショ
ン11に近接配置されたウェハ7にほぼ垂直に入射して
ラジカル反応をアシストし、高速な異方性エッチングが
行われた。この結果、異方性形状に優れるゲート電極が
ほぼ形成された。
【0027】次に、図1(b)に示されるように、ウェ
ハ7を下降させてECRポジション11から遠隔した位
置に保持した。この状態で、RFバイアス・パワーを1
0Wに低下させた他は上述と同じ条件にてオーバーエッ
チングを行った。ここでは、S2 F2 の供給条件を
特に変更しておらず、また多結晶シリコン層の被エッチ
ング面積が減少しているために、F* ラジカルは大過
剰となっている。しかし、ウェハ7側へ向かって伸びた
ECRプラズマPのアフタ・グロー領域がベルジャー4
の側壁部においてSi系材料層12(ここではダミーの
Siウェハ)と接触するため、F* はSiFx の形
でエッチング反応系外へ除去された。この結果、エッチ
ング系内の見掛け上のF/S比が減少して相対的にSの
堆積が起こり易い条件が整い、ゲート絶縁膜に対して約
20の選択比を維持しながら、多結晶シリコン層のオー
バーエッチングを行うことができた。
【0028】また、上述のようにウェハ7がECRポジ
ション11から離れて保持されている状態では、高エネ
ルギー電子のサイクロトロン共鳴円運動により反磁性効
果が現れ、発散磁界との相互作用により弱磁界方向にイ
オンが加速される。しかし、ここではRFバイアス・パ
ワーが10Wに低減されているので、イオン入射エネル
ギーはウェハ7がECRポジション11に近接配置され
ている場合と比べて低減された。これにより、低ダメー
ジ性も達成された。コン層のオーバーエッチングを行う
ことができた。なお、パターン側壁部に堆積したSは、
オーバーエッチング終了後にウェハ7を約90℃に加熱
することにより容易に昇華除去され、何らパーティクル
汚染を惹起させることはなかった。
【0029】ところで、本実施例ではエッチング・ガス
としてS2 F2 を使用したが、他にSF2 ,SF
4 ,S2 F10等のフッ化イオウを使用しても良く
、さらにS3 Cl2 ,S2 Cl2 ,SCl2 
等の塩化イオウを使用しても良い。また、エッチングの
初めの段階からラジカル生成量を低減させるために、エ
ッチング・ガスにF* を捕捉し得るH2 ,H2 S
,シラン系ガス等を添加しても良い。さらにあるいは、
スパッタリング効果,希釈効果,冷却効果等を期待する
意味で、He,Ar等の希ガスを適宜添加しても良い。
【0030】実施例2 本実施例は、本発明をコンタクト・ホールの形成に適用
した例であり、C4F8 (オクタフルオロシクロブタ
ン,別名フロンC318)をエッチング・ガスとして供
給しながらSiO2 層間絶縁膜のエッチングおよびオ
ーバーエッチングを行った例である。本実施例で使用す
るECRエッチング装置は、図1に示されるものと同様
である。ただし、図中に記入されているECRプラズマ
P中の化学種のうち、S+ ,SFx + は本実施例
では生成せず、代わりにCFx + が生成する。本実
施例でエッチング・サンプルとして使用したウェハ7は
、単結晶シリコン基板上にSiO2 からなる層間絶縁
膜が形成されてなるものである。このウェハ7をウェハ
載置電極8上にセットし、図1(a)に示されるように
ECRポジション11に近接した位置に保持し、冷却配
管9にエタノール冷媒を循環させることにより該ウェハ
7を0℃に保持した。この状態で、C4 F8 流量5
0SCCM,ガス圧1.3Pa(10mTorr),マ
イクロ波パワー850W,RFバイアス・パワー50W
(2MHz)の条件で層間絶縁膜のエッチングをジャス
ト・エッチング状態まで行った。
【0031】ここで使用されたC4 F8 は、本願出
願人が先に特願平3−40996号明細書において提案
した飽和環状フルオロカーボン系ガスのひとつである。 C4 F8 は1分子から2個以上のCFx + を生
成して高速エッチングに寄与する他、プラズマ中におけ
る炭素骨格の切断により重合に有利な化学種を生成する
ので効率良く炭素系ポリマーを堆積させることができる
。この炭素系ポリマーがパターン側壁部に堆積して側壁
保護膜を形成することにより、ガス系に堆積性カーボン
系ガスが添加されていないにもかかわらず、良好な異方
性形状を有するコンタクト・ホールがほぼ形成された。 また、上記炭素系ポリマーはレジスト・マスクの表面に
も堆積して対レジスト選択比の向上にも寄与した。
【0032】次に、図1(b)に示されるように、ウェ
ハ7を下降させてECRポジション11から遠隔した位
置に保持し、上述と同じ条件にてオーバーエッチングを
行った。ここでは、ECRプラズマPとSi系材料層1
2との接触面積が増大し、過剰のF* はSiFx の
形でエッチング反応系外へ除去された。この結果、エッ
チング系内の見掛け上のF/C比が減少して相対的に炭
素系ポリマーの堆積が起こり易い条件が整い、単結晶シ
リコン基板に対して約15の選択比を維持しながら、層
間絶縁膜のオーバーエッチングを行うことができた。
【0033】ところで、本実施例ではエッチング・ガス
として環状化合物であるC4 F8 を使用したが、同
じ組成式で表される直鎖状のオクタフルオロブテンを使
用してもほぼ同様の結果が得られる。さらに、本願出願
人がこれまでに一連の出願により提案しているごとく、
飽和環状,不飽和環状,飽和鎖状,不飽和鎖状等の構造
を有する各種の高次フルオロカーボン系化合物を使用し
ても良い。
【0034】
【発明の効果】以上の説明からも明らかなように、本発
明ではECRプラズマ装置の処理チャンバ内に設けられ
たSi系材料層とECRプラズマとの接触面積をウェハ
の昇降により変化させるという巧妙な手法により、オー
バーエッチング時に過剰となるラジカルの影響を効果的
に低減させることができる。したがって、極めて高い対
下地選択性と異方性とが達成される。しかも、本発明で
はジャスト・エッチングまでの工程とオーバーエッチン
グ工程との間でエッチング・ガスの組成を変更しないの
で、安定したマイクロ波放電を継続させたまま、スルー
プットを低下させずに再現性の高い異方性エッチングを
行うことが可能となる。本発明は微細なデザイン・ルー
ルにもとづいて設計され、高集積度および高性能を有す
る半導体装置の製造において特に有効である。
【図面の簡単な説明】
【図1】本発明のドライエッチング方法を実施するにあ
たり使用されるECRエッチング装置の一構成例および
その使用例を示す概略断面図であり、(a)はジャスト
・エッチングまでの使用状態、(b)はオーバーエッチ
ング時の使用状態をそれぞれ表す。
【符号の説明】
4  ・・・ベルジャー 7  ・・・ウェハ 8  ・・・ウェハ載置電極 9  ・・・冷却配管 11・・・ECRポジション 12・・・Si系材料層 P  ・・・ECRプラズマ

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】  ECRポジションの下流側において処
    理チャンバの内壁部の少なくとも一部がシリコン系材料
    層により被覆されてなり、かつ該ECRポジションと被
    エッチング基板間の距離を可変となし得るECRプラズ
    マ装置を使用し、前記ECRポジションに相対的に近い
    位置に前記被エッチング基板を保持しながら被エッチン
    グ材料層のエッチングを行った後、前記ECRポジショ
    ンよりも相対的に遠い位置に前記被エッチング基板を保
    持しなからオーバーエッチングを行うことを特徴とする
    ドライエッチング方法。
JP3123029A 1991-04-26 1991-04-26 ドライエッチング方法 Expired - Lifetime JP3000717B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP3123029A JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法
KR1019920006172A KR100225552B1 (ko) 1991-04-26 1992-04-14 드라이에칭방법
US07/874,114 US5266154A (en) 1991-04-26 1992-04-27 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3123029A JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH04326726A true JPH04326726A (ja) 1992-11-16
JP3000717B2 JP3000717B2 (ja) 2000-01-17

Family

ID=14850458

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3123029A Expired - Lifetime JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法

Country Status (3)

Country Link
US (1) US5266154A (ja)
JP (1) JP3000717B2 (ja)
KR (1) KR100225552B1 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
JP3318801B2 (ja) * 1993-12-29 2002-08-26 ソニー株式会社 ドライエッチング方法
JP3199957B2 (ja) * 1994-06-20 2001-08-20 株式会社日立製作所 マイクロ波プラズマ処理方法
US5667630A (en) * 1995-04-28 1997-09-16 Vanguard International Semiconductor Corporation Low charge-up reactive ion metal etch process
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US6139647A (en) * 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
TW452944B (en) 1997-06-03 2001-09-01 Hitachi Chemical Co Ltd Phenolic resin, resin composition, molding material for encapsulation, and electronic component device
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
JPH1167738A (ja) * 1997-08-18 1999-03-09 Oki Electric Ind Co Ltd アッシング方法および装置
US5866303A (en) 1997-10-15 1999-02-02 Kabushiki Kaisha Toshiba Resist developing method by magnetic field controlling, resist developing apparatus and method of fabricating semiconductor device
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US20090065146A1 (en) * 2006-03-06 2009-03-12 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS5994422A (ja) * 1982-11-19 1984-05-31 Nec Kyushu Ltd プラズマエツチング装置
JPH0622217B2 (ja) * 1984-05-16 1994-03-23 株式会社日立製作所 表面処理装置及び表面処理方法
JPS6428823A (en) * 1987-07-24 1989-01-31 Hitachi Ltd Plasma processor
JPS6481321A (en) * 1987-09-24 1989-03-27 Hitachi Ltd Plasma treatment device
JPH025413A (ja) * 1988-06-24 1990-01-10 Hitachi Ltd プラズマ処理装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置

Also Published As

Publication number Publication date
JP3000717B2 (ja) 2000-01-17
US5266154A (en) 1993-11-30
KR100225552B1 (ko) 1999-10-15

Similar Documents

Publication Publication Date Title
JPH04326726A (ja) ドライエッチング方法
CN1524287B (zh) 用于蚀刻有机低k材料的特殊化学工艺
KR100738699B1 (ko) 도핑에 독립적인 폴리실리콘용 자기세정 에칭 방법
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6337277B1 (en) Clean chemistry low-k organic polymer etch
JP3116569B2 (ja) ドライエッチング方法
US6576569B1 (en) Method of plasma-assisted film deposition
KR20010049274A (ko) 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정
JP2005050908A (ja) Lsiデバイスのエッチング方法および装置
US5354421A (en) Dry etching method
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US5362361A (en) Dry etching method
US6121154A (en) Techniques for etching with a photoresist mask
KR20200102952A (ko) 플라즈마 에칭 프로세스
US5211790A (en) Dry etching method by sulfur conditioning
JP3006048B2 (ja) ドライエッチング方法
JP3094470B2 (ja) ドライエッチング方法
JPH04346427A (ja) ドライエッチング方法
JP3038984B2 (ja) ドライエッチング方法
JPH0458176B2 (ja)
JPH03109728A (ja) 半導体装置の製造方法
JPH05343366A (ja) ドライエッチング方法
WO2024125303A1 (zh) 一种晶圆处理方法及用于晶圆处理的刻蚀-沉积一体设备

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19991012

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071112

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081112

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101112

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 12