JP2005050908A - Lsiデバイスのエッチング方法および装置 - Google Patents

Lsiデバイスのエッチング方法および装置 Download PDF

Info

Publication number
JP2005050908A
JP2005050908A JP2003203790A JP2003203790A JP2005050908A JP 2005050908 A JP2005050908 A JP 2005050908A JP 2003203790 A JP2003203790 A JP 2003203790A JP 2003203790 A JP2003203790 A JP 2003203790A JP 2005050908 A JP2005050908 A JP 2005050908A
Authority
JP
Japan
Prior art keywords
etching
gas
dielectric constant
film
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003203790A
Other languages
English (en)
Other versions
JP3866694B2 (ja
Inventor
Hideyuki Kazumi
秀之 数見
Takeshi Yoshida
剛 吉田
Eiji Ikegami
英治 池上
Kouichi Nakaune
功一 中宇禰
Masamichi Sakaguchi
正道 坂口
Yasuyuki Miyamoto
泰之 宮本
Akihiro Sano
彰洋 佐野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2003203790A priority Critical patent/JP3866694B2/ja
Priority to US10/780,670 priority patent/US6919274B2/en
Publication of JP2005050908A publication Critical patent/JP2005050908A/ja
Application granted granted Critical
Publication of JP3866694B2 publication Critical patent/JP3866694B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】Cu配線と低誘電率膜とで構成されるLSIデバイス構造をエッチングする際、拡散防止膜/低誘電率膜の選択比を高める。
【解決手段】プラズマ処理装置の支持台5に載置されているウエハ4の低誘電率膜(SiOC)18に対して、拡散防止膜(SiC)17をプラズマでエッチングする。ガス6はSO2とNF3を1:2で混合し、μ波のパワーを800Wとし、圧力やRFをパラメータとする。SO2は低誘電率膜18に対し高い保護性、NF3は拡散防止膜17に対し高いエッチング速度を実現する。拡散防止膜の低誘電率膜に対するエッチング選択比は5〜15程度、エッチング速度は350nm/min程度を実現できる。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマを利用してLSI素子を処理するエッチング方法及び装置に関する。
【0002】
【従来の技術】
LSI素子の微細化、高集積化が急速に進み、ゲート長0.13μmのデバイスは量産化へ移行し、さらに90nmや65nmのデバイスも開発されつつある。LSIの高速動作のためには、トランジスタの動作速度向上と多層金属配線の伝播遅延(いわゆるRC遅延)を克服する必要があり、近年は配線の伝播遅延の影響が大きくなりつつある。
【0003】
その解決手段として、抵抗率ρの小さいCu配線とキャパシタ部の比誘電率kの小さい低誘電率膜(low−k膜)の開発が進められている。Cuの塩化物CuClxは蒸気圧が低いため、Cuの配線形成にはメッキ等が用いられ、現在は配線とビア部分を同時に形成するデュアル・ダマシン法(Dual Damascene)が用いられている。低誘電率膜に関しては、HSQ(Hydrogen Silsequioxane)、MSQ(Methyl Silsequioxane)やポリシロキサン等の膜が開発されつつあり、k<3.0を目指している。また膜中に空孔を持つポーラスの絶縁膜も用いられるようになっている。
【0004】
ダマシン構造のCu配線と低誘電率膜とを組合せた多層配線構造では、Cuの拡散を抑制するため拡散防止膜を形成することが必要となっている。拡散防止膜としては、SiN、SiON、SiC、SiOC、SiCN等が考えられている。Cuは容易にトランジスタを構成するSi(Poly−Si、真性Si、アモルファスSi、一部SiC)やキャパシタSiO2(低誘電率膜)に拡散していく。Si中にCuが拡散すると、Siのバンドギャップに影響を与え電気特性の変動要因となり、また低誘電率膜中に拡散すると、Cuが分極の核となり比誘電率が増加することになる。そのため上記の拡散防止膜が重要となる。
【0005】
Cu配線の上に低誘電率膜を形成する際には、拡散防止膜、低誘電率膜の膜種と構造に種々の組合せがある。例えば低誘電率膜1、Cu配線、拡散防止膜、低誘電率膜2の順に形成する。低誘電率膜1、2には同じものが用いられることも多い。一般に拡散防止膜の方が低誘電率膜に比べて誘電率が高いため、できる限り拡散防止膜は薄いことが望まれる。この拡散防止膜に配線のためのコンタクトホールを加工するためのエッチングをする際には、拡散防止膜と低誘電率膜とのエッチング選択比を大きくとる必要がある。なお、拡散防止膜は一方でエッチングのストッパー膜でもある。
【0006】
上記は、Cu配線と低誘電率膜からなるダマシン構造の膜をエッチングする場合であるが、Siを含むマスク材をエッチングする場合でも、同様にマスク材と下地膜(レジストや低誘電率膜)との選択比を高くする必要がある。
【0007】
特許文献1には、下層配線層の上にSiC層、さらに層間絶縁膜層、ビアホールと配線溝からなる多層配線構造をエッチングする際に、SiC層のドライエッチングは、ハロゲン化合物を含むエッチングガスに窒素を含むガスを添加する方法が示されている。その例としてNF3、SF6、CF4、CHF3、CH2F2ガス、あるいは酸素、窒素、アンモニア、亜酸化窒素ガスを用いる方法が開示されている。
【0008】
また、特許文献2には、例えばSiO2をエッチングする方法において、フロン系ガスとFを含まないS(硫黄)系ガスとの混合ガスを用いる方法が記載され、SO、SO2、SO3などの例が示されている。
【0009】
【特許文献1】
特開2002−110644号公報(段落0016)
【特許文献2】
特開平7−169747号公報(段落0009−0010)
【0010】
【発明が解決しようとする課題】
特許文献1の技術では、SiCをエッチングする方法として、NF3やCF4などのガスを用いている。NF3単独の場合はSiCを高速にエッチングできるが、一方でエッチングすべきでないSiOCに代表される層間絶縁膜とのエッチング選択比が2程度と低い。CF4などのガスも同様である。O2ガスの添加量を増せば選択比は若干上昇するが、一方でエッチングすべき膜であるSiCのエッチング速度が単調に減少する。エッチングレートを100nm/min以下と犠牲にしても、選択比は4程度が限界である。
【0011】
特許文献2の技術では、対象とする膜がSiO2であり、SiCをエッチングすることやSiC/SiOCの高選択比を実現することについての考慮がない。
【0012】
本発明の目的は、上記従来技術の問題点を克服し、多層配線構造(ダマシン構造)のエッチングの際に、拡散防止膜(エッチングストップ膜)/低誘電率膜の組合せに対し、エッチング選択比を高くし(8以上が望ましい)、かつ拡散防止膜のエッチング速度を大きくする(200nm/min以上)エッチング方法や処理装置を提供することにある。
【0013】
また、他の目的は、マスク材をエッチングする際に、下地膜(レジストや低誘電率膜)との選択比あるいはエッチング速度を大きくするエッチング方法や処理装置を提供することにある。
【0014】
【課題を解決するための手段】
上記目的を達成する第1の発明は、Cu配線と低誘電率膜で構成されるLSIデバイスをプラズマエッチングする方法において、前記低誘電率膜にCuが拡散するのを防止するため、前記LSIデバイスにSiを含む拡散防止膜を設けてあり、前記拡散防止膜を前記低誘電率膜に対して選択的にエッチングする際に、硫黄Sを含むガスをエッチングガスとして用いることを特徴とする。
【0015】
前記拡散防止膜はSiC、SiCN、SiO、SiOCまたはSiN等で構成され、前記低誘電率膜はSiOCまたはSiON等で構成される。また、前記エッチングガスはSO2、SO3、SOF2、SO2F2、SONx、SONxFyまたはSH2を用いる。
【0016】
さらに、前記エッチングガスに、NとFを含むガスを混合することを特徴とする。NとFを含むガスにはNF3、NF3OまたはN2F4を用いる。
【0017】
これにより、前記低誘電率膜の保護性を高めると共に、前記低誘電率膜に対する前記拡散防止膜のエッチング選択比を向上できる。
【0018】
前記他の目的を達成する第2の発明は、Siを含むマスク材と下地の低誘電率膜またはレジストで構成されるLSIデバイスをプラズマエッチングする方法において、前記マスク材を前記低誘電率膜または前記レジストに対して選択的にエッチングする際に、硫黄Sを含むガスをエッチングガスとして用いることを特徴とする。マスク材としてはSiC、SiCN、Si3N4またはSiONである。
【0019】
また、第1及び第2の発明のエッチングガスに、炭素Cを含むガス、すなわちCO2、CO、C3O2、O2、CNF、CNClまたはCNHを添加する。この添加ガスによれば、前記拡散防止膜または前記マスク材に対するエッチング性の高いNF3を用いても、前記低誘電率膜に対する保護性を高めるので、選択比と加工形状の制御が可能になる。
【0020】
また、前記エッチングガスに、窒素Nを含むガス、すなわちN2、NH3またはNOx(NO2、N2O、等)を添加する。この添加ガスによれば、結合エネルギーが負の反応生成物を生成するので、付着しにくい量を可変でき、加工形状を制御できる。
【0021】
あるいは、前記エッチングガスに、水素Hとハロゲンを含むガス、すなわちH2やハロゲン化水素またはNH3を添加する。この添加ガスによれば、表面への結合エネルギーが負で、溝やホールの側壁に付着しにくい反応生成物を生成するので、溝やホールを垂直に加工できる。
【0022】
また、前記エッチングガスに、希ガスすなわちHe、Ne、ArまたはXe等をキャリアガスとして添加する。このキャリアガスによれば、プラズマ密度、電子温度や気相中の解離の割合を変えることができるので、保護性とエッチング性の制御が可能になる。
【0023】
また、前記エッチングガスに、CとFを含むフロロカーボンCxFyを添加する。x/yの比は保護性には1以上、エッチング性には0.5以上で、CF4、C2F6、C3F8、C4F8、C5F8、C4F6、C6F6等のガスが有効である。
【0024】
上記目的を達成する本発明のLSIデバイスのエッチング装置は、Cu配線と低誘電率膜及び拡散防止膜で構成されるLSIデバイスをプラズマエッチングする装置において、エッチングガスの導入される処理室に前記デバイスを載置する電極つきの支持台を有し、前記処理室の外部からμ波と磁場を与えて前記エッチングガスをプラズマ化し、前記電極に高周波電源を与えてプラズマ中のイオンにより前記デバイスをエッチングするプラズマ処理装置を備え、前記拡散防止膜を前記低誘電率膜に対して選択的にエッチングする際に、前記エッチングガスとして硫黄Sを含むガスと窒素Nとフッ素Fを含むガスを混合することを特徴とする。
【0025】
また、前記プラズマ処理装置は、解離種や反応生成物の付着率を変化させるために、前記支持台の温度を変化させる温度制御手段を有していることを特徴とする。設定温度としては−40℃から100℃の間(望ましくは40℃〜60℃)で変えるによって、SiOCへの堆積やSiCの側壁への堆積・化学エッチ量を変化させることができる。
【0026】
【発明の実施の形態】
以下、本発明の実施の形態を説明する。LSIの高速化のため配線遅延を低減する構造として、銅配線と低誘電率膜とを組み合わせるデバイス構造が検討されている。低誘電率膜は誘電率を下げる必要性からポーラス状の構造(材料の膜中にナノメートル単位の空孔のあるポーラス構造)をとるため、銅の拡散を防止する必要があり、その手段として拡散防止膜が用いられる。
【0027】
図3にデバイスの断面構造を示す。デバイスには下部電極(Cu配線)とのコンタクトをとるために、低誘電率膜(SiOC)を残して、配線溝やビアホールを設ける。このため、図示の順序で加工して拡散防止膜(SiC)をエッチングする。その際、拡散防止膜(エッチングストップ膜)/低誘電率膜の組合せに対して選択比を高く、かつ拡散防止膜のエッチング速度を大きくする必要がある。
【0028】
図1は本発明のプラズマ処理装置の構成の一例を示す。ガス導入系2よりガス6が導入される処理室3と、ウエハ4を支持する電極付きの支持台5とを備えた真空容器1を有し、処理室内のガスは排気系7によって排気する。μ波発生源8で発生されたμ波9は導波路10を通して、金属壁で囲まれた空洞部11に導かれ、導入窓12を介して処理室3に導かれる。導入窓の下にはガスを供給するシャワープレートが配置されている。処理室の外側には磁石・コイル13により1kGauss程度の磁場を発生させる。処理室内に導かれたガス6はμ波電界と磁場との相互作用によって電離・解離され、プラズマ14や解離種(ラジカル)15が生成される。
【0029】
使用するガス6は拡散防止膜/低誘電率膜(たとえばSiC/SiOC)の組合せに対し、高選択比に、かつ高速でエッチングできるものである。このため、ガス6をプラズマ化してエッチングするが、その要点はガス6を構成するガス成分にある。そこで、はじめにガス成分の選択指針について説明する。
【0030】
ガス選択の指針としては、表面と解離種、反応生成物との結合エネルギーの大小を基に判断する。図6に表面と生成物の結合エネルギーの関係を示す。また、図7に表面にN−を加えた結合エネルギーの関係を示す。
【0031】
エッチングガスには、下地膜(低誘電率膜、レジスト)に対して保護性が大きく、拡散防止膜もしくはマスク膜に対してエッチング性が大きいガスを用いる。ここで、保護性とはガス及びその解離種及びエッチング生成物が膜の上に堆積し、プラズマからのイオン入射に対して膜を保護する程度を示す。また、エッチング性とはガス及びその解離種が膜に付着して、膜と解離種とが結合し、膜間の結合よりも膜と解離種との結合が強くなり、自発的に(化学エッチング)もしくは入射するイオンからエネルギーをもらって気化するときの気化し易さの程度を示す。
【0032】
本発明ではこれらの指標を、分子軌道法を用いてガスや解離種と膜の結合エネルギーを評価することによって導出した。拡散防止膜にSiCを、下地膜の低誘電率膜にSiOCを使用する場合を検討対象とし、表面をSiとCからなるクラスターとSiとOとCからなるクラスターを用いて、表面の未結合手(ダングリングボンド)とガスやラジカルとの結合エネルギーを調べた。
【0033】
図6の縦欄にはガス及び反応生成物種を示し、結合エネルギーはeV単位である。Si−とあるのは表面がSiであり、未結合手をもつことを示す。なお、未結合手を持つラジカルF−やO−、CF3については、表面の未結合手と殆どの場合に結合するので、明記しなかった。
【0034】
SiOCの保護性の指標としては、O−表面に付着しやすい、すなわちOとの結合エネルギーが高いことが重要である。一方で、SiCをエッチングするためにはC−表面に付着しやすいことが必要である。
【0035】
SiC/SiOCの選択比の指標としてΔE(SiOC)=(O−表面結合エネルギー)−(C−表面結合エネルギー)を考えると、図6に挙げたものの中では、SO2がΔE=0.80eVと最も高く、次にCOがΔE(SiOC)=0.66eV、CO2がΔE(SiOC)=0.56eV、以下、SF4がΔE(SiOC)=0.36、CF2がΔE(SiOC)=0.29eVとなる。
【0036】
一方、SiCをエッチングするためには、Siに付着して反応し、その生成物が付着しにくいものが望ましい。例えばCF4の場合、Si−、C−、O−表面のいずれにも付着しないが、プラズマで解離して生成するCF2はそのいずれの表面にも付着する。またSF6はSiやC−表面に付着するがO−表面には付かない。これらを勘案して、SiCのエッチング性の指標はΔE(SiC)=(Si−表面結合エネルギー)−(O−表面結合エネルギー)と定義する。SF6ではΔE(SiC)=1.37eV(負の値は0とする)、CF4ではΔE(SiC)=0eV、NF3ではΔE(SiC)=2.57eVである。特にNF3の場合、Siに極めて付着し易いことが判る。
【0037】
上記のSiC/SiOCの組合せデバイスを対象に、エッチングガスを変えながら、2.45GHzのμ波と磁場を用いたプラズマ処理装置でエッチングを実施した。
【0038】
図8は従来使用のCF4/O2の混合ガスを用いたエッチング結果を示す。O2流量増加と共にSiOCのエッチングレートが低下、SiCはあるO2流量までは増加し、その後は減少する。この結果について結合エネルギーの大小を基に説明する。
【0039】
CF4/O2ガスの場合、気相中に存在するものとしてガスCF4、解離種CF3、CF2、F、Oが、生成物としてSiF4、SiF2、COF2等が挙げられる。CF4はSi−と−0.04eVの結合であるので付着しにくく、C−やO−にも付着しない。しかし、CF2はSi−、C−、O−表面に付着・堆積する。
【0040】
また、COF2はSiCやSiOCがF、Oを含むガスでエッチングされた時の反応生成物の1つである。この生成物の結合エネルギーはSi−(0.2eV)〜C−(0.22eV)<O−表面(0.56eV)であり、SiやC表面よりもO−を持つ表面に付着・堆積し易い。Oの表面に堆積することで、表面に付着したCF2をCOF2↑として気化させることにより取り去る。一方で未結合手を減少させることで、Fが付着するのを阻害し、エッチングの進行を止めることになる。
【0041】
SiOCについてはFやCF2が付着し、SiはSiF4↑、CはCF4もしくはCOF2↑、OはCF2と結合しCOF2↑等の形となる。そのためO2流量の増加に伴い、SiCのエッチングレートは上昇した後、あるO2量を境にして減少する。また、OはSiC表面よりもSiOC表面をより保護しやすいことを示し、Oを生成するガスO2の流量増加とともに、SiOCのエッチングレートが低下することと関係している。
【0042】
Si−〜C表面<O表面となる保護性のガスとしては、図6中のCO2が挙げられる。一方でFを含むガスの代表例SF6の場合は、Si、Cの表面に結合しやすく、Oには付着しないことが判る。また、その解離種であるSF4はどの表面にも付着する。
【0043】
図9は従来使用のSF6を用いたエッチング結果を示す。SF6/O2の方が先のCF4/O2よりもSiCのエッチングレートが高く、ΔE(SiC)の指標の大小と対応していることが判る。SF6の場合はCF4と異なり、気相中のFが直接付着するだけでなく、SF6自身がSiやC、O表面に付着しFを与えることができることを示している。またOの代わりにCO2を用いた場合はSiC、SiOC共にエッチングレートが低下する。
【0044】
上記従来のエッチング結果から判断すると、SiC/SiOCを高選択比、高エッチングレートを実現するためには、SiOCへの保護性が強く、SiCへのエッチング性が強いガスやラジカル種を供給すれば良いことがわかる。
【0045】
保護性の指標としては、ΔE(SiOC)=(O−表面結合エネルギー)−(C−表面結合エネルギー)を、エッチング性の指標としては、ΔE(SiC)=(Si−表面結合エネルギー)−(O−表面結合エネルギー)をそれぞれ定義できる。
【0046】
また、エッチング加工形状は、パターン側壁への付着量に関係する。先の保護性の指標は形状が太ることに、エッチング性は形状が細ることに対応する。但し加工形状を垂直にするには、堆積するものとエッチングするものとのバランスが必要になり、条件が限定されるので実現は困難である。ところが、側壁に付着しにくいものを生成あるいは添加することは容易に実現できる。つまり、結合エネルギーが負の場合は付着しないので、これに該当するガスを選択すれば良く、図6ではN2がこれに相当する。
【0047】
そこで、上記指標をもとに、低誘電率膜付着性、低誘電率膜保護性、拡散防止膜エッチング性、選択比および加工形状制御性の観点からガス種を選択する。
(低誘電率膜付着性)
ガスもしくはガスから生成される解離種がSiOCに堆積・保護する必要がある。Si、C、Oに付着しやすく、さらにSiCがエッチングされ易いものを選ぶ指針として、表面とラジカルとのエネルギーがSi表面〜C表面<O表面となる解離種や反応生成物を選択すればよい。指標としてΔE(SiOC)=(O−表面への結合エネルギー)−(C−表面への結合エネルギー)を導入し、ΔEが大なるものを選択する。例としては、図6に示すように、CO、CO2、COF2、SiF4、SiCl4、SF4、SO2等がある。図にはないが、SOF2、NOFもこの指標を満たす。
【0048】
図2にSiC/SiOCに対し高選択比と高速エッチング性を表わすガス成分を示す。ここでは、低誘電率膜保護性や拡散防止膜エッチング性などを効果の大きい順に並べている。低誘電率膜付着性の大きいガスとしては、SO2、SO3、CO2、CO、C3O2、フロロカーボンCxFyなどがある。
(低誘電率膜保護性)
上記のガスや解離種のうち、付着後さらに堆積性が強いものとしては、開殻の分子、スンをもつ分子、また極性をもつ分子であれば、次々に堆積することができ、より保護性が強まる。この例としてはCO(CO2)、SO2、SO3、SOF2が挙げられる。その中でもSO2が最もΔE(SiOC)が大きい。一方、SiF4やSiCl4、SiClxFyBrzなどは保護効果が弱い。また、O−はCF2によりCOF2↑の形で気化しやすいので、CxFyのうちでもF比率が小さく、y/xが2より小さくなる必要がある。
(拡散防止膜エッチング性)
Si−、C−をエッチングするためには、これらの表面に付着し、かつ気化させる必要がある。ΔE(SiC)=(O−表面への結合エネルギー)−(C−表面への結合エネルギー)が大きいことが必要で、F、Cl、Br、NF、NF2、CFx(x=2、3)、SOxが相当する。Oが存在するとSiOXの形となって気化がしにくくなる。但し、Oを含んでいてもCOやSO、NO等の場合は、CやSやNがSi、C表面に付着できるので、気化がし易くなる。
【0049】
これより、拡散防止膜エッチング性の大きいガスとして、SF6、NF3、CF4、C2F6、CxFy(y/xは2以上)やCl2、HF、HCl、HBrが上げられる。一般には、SiCをエッチングするガスとしてはF、C、Nを含むガスであればよく、望ましくはNF3、もしくはFを別のハロゲン元素で置き換えたNFxハロゲン化合物であればよい。(選択比)
SiOCのエッチングを抑制し、SiCのエッチングを容易にするガスの指針としては、ΔE(SiOC)が大きいことが必要である。そのガスとして、SO2、SO3、COが挙げられる。また、SiCのエッチング性ガスとしては、ガス、解離種または生成物のΔE(SiC)が大きいことが必要である。そのガスとして、NF3、SF6、SF4、CF4、CxFy(y/xが2以上)がある。これより、ΔE(SiOC)の大きいガスとΔE(SiC)の大きいガスを混合すれば、選択比が大になる。
(加工形状制御性)
加工形状特にホールや溝形状を制御するためには、(a)付着して化学エッチする(ホールや溝の側壁を細らせる)ガス、解離種、反応生成物であって、(b)付着し堆積するもの(側壁を太らせる)、(c)付着しにくいもの(側壁寸法一定)、の量が制御できれば良い。
【0050】
(b)については殆どの解離種・反応生成物が該当するので、(a)、(c)のガス候補を挙げる。SiCを例にすると、(a)としてはSi、Cとの結合エネルギーが正であり、かつSi−Cの結合よりも、Si、Cと解離種との結合エネルギーが大きくなるものが必要で、SO2、SO3が挙げられる。(c)としては結合エネルギーが負となる解離種や反応生成物(SiCl4、SiF2Br2、N2、CF4)を生成するガスが必要で、N2、N2O、NF3、CxFy(y/x2以上)が挙げられる。
【0051】
これより、形状制御をするガスとしては、各種表面への結合エネルギーがマイナスもしくは小さいものを選ぶ。その候補としては図6、7より、SiF4、N2、CO2、SiFxClyBrz(x、y、z=0−4)が挙げられる。これを生成するガスとしては、図2に示すNを含むガスNF3、N2、NH3など、もしくはCl2、HCl、HBr、HF、HIなどを用いればよい。
【0052】
以上のように、SiOC保護性すなわちSiC/SiOCの高選択比を実現するためには、ΔE(SiOC)の大きいガスが必要で、SO2もしくはSO3など、Sを含むガスを用いれば良い。また、SO、SOF2、S2O3、SO2F2、SONx、SONxFy、SH2などでも良い。
【0053】
さらに、SiCを高速でエッチングするためには、ΔE(SiC)の大きいガスが必要で、NF3ガスが相当する。NF3ガスは、CF4やSF6よりも極めて付着し易く、SiCをよりエッチングし易くする。以上から、たとえばSO2/NF3を混合して用いると高選択比と高エッチングレートの両立を実現することが可能である。
【0054】
また、気相中のFの量を制御すれば、ある範囲でエッチングレートと選択比を変えることができる。そのガスとしてはHを含むガスH2や上記のハロゲン化水素、NH3などである。
【0055】
希ガスHe、Ne、Ar、Xe等を混合すると、プラズマ密度、電子温度や気相中の解離の割合を変えることができるので、ラジカル量やラジカルの種類を制御することができる。これらのガス種を用いて、保護性とエッチング性を制御することが可能になる。
【0056】
上記のデバイスの例はSiC/SiOCの組合せによるものである。拡散防止膜(ストップ膜)/低誘電率膜の組み合せには、SiC/SiON、SiCN/SiOC、SiCN/SiONなどもある。表面のN−と上記のガス種との結合エネルギーについては、Si−、C−表面との結合エネルギーと、O−表面との結合エネルギーの概ね間にあるので、同様に付着性、保護性、エッチング性の議論が成り立つ。
【0057】
次に、上記ガス種を用いるプラズマエッチング装置の実施例を説明する。
〔実施例1〕
本発明の第1の実施例を図1のプラズマ処理装置と、図3のデバイス構造を用いて説明する。図3は被処理物のデバイス構造であり、下層配線層(Cu配線)の上に拡散防止膜(SiC)、さらに層間絶縁膜層を構成する低誘電率膜(SiOC)、ビアホールと配線溝から構成される。この多層配線構造(ダマシン構造)をプラズマエッチングする。
【0058】
この他に、低誘電率膜としてはSiOR(R:CxFy、x、yは整数)SiON等があり、拡散防止膜としてはSiR(R:CxFy、x、yは整数)、SiCNなどがある。
【0059】
プラズマ処理装置は、SiC/SiOC試料を対象に、2.45GHzのμ波と磁場を用いてエッチングを実施した。支持台5の上にはウエハ4が配置され、接続された高周波電源16から高周波が印加される。ウエハ4の上には、拡散防止膜(エッチストップ膜)17と低誘電率膜18が形成されている。支持台5の電極に印加された高周波によってプラズマ中のイオンを引込み、ウエハを加工する。
【0060】
上記においては、μ波と磁場とを用いたエッチング装置の場合を示したが、他のプラズマ生成方式による装置を用いても良い。他の方式としては、真空容器の中に平行に並べられた上下電極を持ち、上電極、下電極もしくはその両方に高周波を印加する平行平板型のRF装置、平行平板型の装置に磁場コイルや磁石を設けたマグネトロン型装置でもよい。また誘電体で構成される真空容器とコイル状アンテナにRFを印加する誘導結合型の装置、さらにこれらの方式の周波数をVHF帯、UHF帯の高周波を用いた装置でもよい。
【0061】
本実施例では、ガス6をプラズマ化してエッチングする。ガス成分は、拡散防止膜(SiC)を低誘電率膜(SiOC)に対して選択的にプラズマエッチングするエッチングガスとして、硫黄Sを含むガスSO2を用いる。この他に、SO3、SOF2、SO2F2、SONx、SONxFy、SH2を用いてもよい。これらのガスもしくはそこから生成される解離種は、低誘電率膜(SiOC)を保護する。
【0062】
図4にSO2を用いた実施例によるエッチング特性を示す。図1の装置で、μ波パワー800W、SO2流量50sccmでエッチングした時の結果である。横軸は電極に印加した高周波のパワーを、縦軸はSiCとSiOCのエッチングレートである。RF=0の時、SiC、SiOCは共に概ね0であるが、RFを増やすとSiCのエッチングレートは上昇し、一方でSiOCの方は負となる。つまりSiOCの上に生成物が堆積し、SiC/SiOC選択比は見かけ上無限大の高選択比が実現される。
【0063】
この結果は、先の結合エネルギーから判断した指標ΔEの保護性評価の妥当性を示すものである。RF=0の時でも、SiCのエッチングレートは0ではなく、ラジカルのみで削れる‘化学エッチ’であることを示す。かつ、SiC>SiOであることから、表面との結合エネルギーの大小で判断できることを示している。
【0064】
第1の実施例によれば、Cu配線と低誘電率膜(SiOC)で構成されるLSIデバイス構造をエッチングする際に、拡散防止膜またはエッチングストップ膜(SiC)を低誘電率膜に対して選択的にエッチングする。このため、エッチングガスとしてSO2を用いたので、SiCのエッチングレートは高く、SiOCのエッチングは抑制されるので、高い選択比を実現できる。なお、エッチングガスとしては、図2に示すように、低誘電率膜保護性と拡散防止膜エッチング性を満たす各種のガスまたはその組合せがある。
〔実施例2〕
図5は第2の実施例によるデバイス構造を示す。このデバイス構造では、マスク材21はSiC、下地の低誘電率膜18はSiOC、レジスト22はC,H,Oなどから構成されている。エッチングガスとしてSO2を用いているので、低誘電率膜18またはレジスト22に対して、実施例1のSiOCと同様に高い保護性を有し、高選択にエッチングできる。エッチングガスとして他にSO3、SO、S2O3、SOF2、SO2F2、SONx、SONxFy、SH2を用いてもよい。このように、第2の実施例によればマスク/レジストの高選択比が実現できる。
〔実施例3〕
本実施例では、実施例1のエッチングガスSO2に、拡散防止膜のエッチング性が高いガスNF3を混合して、エッチングレートを上げている。他に、混合するガスとしてはNF3O、N2F4、SF4、SF6を用いてもよい。
【0065】
図1のエッチング装置において、エッチングガスはSO2とNF3を1:2に混合してガス導入系2から供給する。また、μ波のパワーを800Wとし、圧力やRFをパラメータとする。SiCのエッチングレートで、〜350nm/min、SiC/SiOC選択比5〜15が得られた。
【0066】
これによれば、実施例1のエッチングガスによる低誘電率膜を保護する機能に加えて、本例による混合ガスを用いることで、拡散防止膜/低誘電率膜の選択比とエッチングレートをより向上できる。なお、実施例2への適用も可能で、同様の効果が得られる。
〔実施例4〕
実施例3の混合ガスに、選択比と加工形状を制御するためにCO2を添加する。他に、CO、C3O2またはO2を添加ガスとして用いてもよい。これらの添加ガスは、表面Si、C、Oとの結合エネルギーの順序関係(図6)から、SO2、SO3に次ぐガスである。この添加ガスによれば、上述したようにSiOCに対する推積性が強いので、SiCへのエッチング性が高いNF3を用いてもSiOCの保護性を高めることができ、選択比と加工形状の制御が可能になる。
〔実施例5〕
実施例3の混合ガスに、加工形状を制御するために窒素Nを含むガスを添加する。具体的にはN2、NH3、NOx(NO2、N2O)などで、解離やウエハや壁との反応でN2、N2O、Nを発生させるガスであれば良い。この添加ガスは上述した結合エネルギーが負となる反応性生物を生成するガスであり、付着しにくいものの量が制御できるので、加工形状を制御するガスとして用いられる。
〔実施例6〕
実施例3の混合ガスに、溝や穴を垂直にエッチングし易いガスを添加する。エッチングする溝や穴を垂直に加工するためには、表面への結合エネルギーが負で、溝や穴の側壁に付着しにくいものを生成するガスが選ばれる。図2に示すように、水素Hとハロゲンを含むガス、たとえばHCl、HBr、HF、HI、CHF3、CH2F2を添加する。
〔実施例7〕
実施例3の混合ガスに、保護性、エッチング性、形状制御性を変化させるガスを添加する。この制御のためには、エッチングプロセスにおいて、化学エッチとイオン性、付着性を変化させれば良く、解離種とイオンの比、解離種の組成を変える。具体的には上記の混合ガスに、He、Ne、Ar、Xe等の希ガスを添加する。これによってプラズマの密度、温度を変え、解離度と組成(解離種/イオン)の比を変えることができる。
〔実施例8〕
実施例3の混合ガスに、低誘電率膜の保護性、拡散防止膜のエッチング性を制御するガスを添加する。このため、CとFからなるフロロカーボンCxFyを用いる。保護性を増すためにはx/yの比が1以上であればよい。またエッチング性を増すためには、x/yが0.5以上であればよいので、CF4、C2F6、C3F8、C4F8、C5F8、C4F6、C6F6等のガスが有効である。
〔実施例9〕
上記実施例4〜実施例8において、解離種や反応生成物の付着率を変化させるためには、ウエハ4を支持する支持台5の温度を温度制御手段19により制御する。設定温度としては−40℃から100℃の間で制御することによって、SiOCへの堆積やSiCの側壁への堆積・化学エッチ量を変化させることができ、選択比や形状の制御が可能になる。たとえば、SO2/NF3を混合ガスとして使用する場合は、電極温度を40〜60℃に設定すると、垂直形状が実現される。
【0067】
【発明の効果】
本発明によれば、拡散防止膜/低誘電率膜の組合せをエッチングする際に、低誘電率膜に対しては保護性が高く、拡散防止膜に対してはエッチング性の高いガスを用いているので、高選択比で高エッチレートのエッチングが実現できる。
【0068】
また、各膜に対して付着性の低いガス(表面への結合エネルギーが負で、溝や穴の側壁に付着しにくいものを生成するガス)を添加することで形状の制御を実現できる。
【0069】
また、別の付着性のガス(He、Ne、Ar、Xe等の希ガス)を添加することで、解離度と組成(解離種/イオン)の比を変えることができ、選択比、エッチングレート、形状が制御でき、所望の加工が実現できる。
【図面の簡単な説明】
【図1】本発明の実施の形態によるプラズマ処理装置の構成図。
【図2】本発明に使用可能なガス成分及びその組合せを示す説明図。
【図3】一実施例によるCu配線と拡散防止膜/低誘電率膜からなるデバイスのエッチング過程を示す構造図。
【図4】SO2ガスによるSiOCの保護性とSiC/SiOCの選択比を示す特性図。
【図5】本発明の第2の実施例によるデバイス断面の構造図。
【図6】表面(Si− C− O−)と生成物との結合エネルギーを示す説明図。
【図7】表面(Si− C− O− N−)と生成物との結合エネルギーを示す説明図。
【図8】従来使用のガスCF4/O2を用いた時のエッチング結果を示す特性図。
【図9】従来使用のガスSF6を用いた時のエッチング結果を示す特性図。
【符号の説明】
1…真空容器、2…ガス導入系、3…処理室、4…被処理物(ウエハ)、5…支持台(電極)、6…ガス、7…排気系、8…μ波発生源、9…μ波、10…導波路、11…空洞、12…導入窓、13…磁石(コイル)、14…プラズマ、15…ラジカル、16…高周波電源、17…拡散防止膜(エッチストップ膜)、18…低誘電率膜、19…温度制御手段、21…マスク材、22…レジスト。

Claims (13)

  1. Cu配線と低誘電率膜で構成されるLSIデバイスをプラズマエッチングする方法において、
    前記低誘電率膜にCuが拡散するのを防止するため、前記LSIデバイスにシリコンSiを含む拡散防止膜を設けてあり、前記拡散防止膜を前記低誘電率膜に対して選択的にエッチングする際に、硫黄Sを含むガスをエッチングガスとして用いることを特徴とするLSIデバイスのエッチング方法。
  2. 請求項1において、
    前記エッチングガスは、SO2、SO3、SOF2、SO2F2、SONx、SONxFyまたはSH2を用いることを特徴とするLSIデバイスのエッチング方法。
  3. シリコンSiを含むマスク材と下地の低誘電率膜またはレジストで構成されるLSIデバイスをプラズマエッチングする方法において、
    前記マスク材を前記低誘電率膜または前記レジストに対して選択的にエッチングする際に、硫黄Sを含むガスをエッチングガスとして用いることを特徴とするLSIデバイスのエッチング方法。
  4. 請求項1において、
    前記エッチングガスに、窒素Nとフッ素Fを含むガスを混合することを特徴とするLSIデバイスのエッチング方法。
  5. 請求項4において、
    前記窒素Nとフッ素Fを含むガスは、NF3、NF3OまたはN2F4を用いることを特徴とするLSIデバイスのエッチング方法。
  6. 請求項4において、
    前記エッチングガスに、炭素Cを含むガスを添加することを特徴とするLSIデバイスのエッチング方法。
  7. 請求項4において、
    前記エッチングガスに、N2、NH3またはNOxを添加することを特徴とするLSIデバイスのエッチング方法。
  8. 請求項4において、
    前記エッチングガスに、水素Hとハロゲンを含むガスを添加することを特徴とするLSIデバイスのエッチング方法。
  9. 請求項4において、
    前記エッチングガスに、炭素Cとフッ素Fを含むフロロカーボンCxFyを添加することを特徴とするLSIデバイスのエッチング方法。
  10. 請求項4において、
    前記エッチングガスに、希ガスをキャリアガスとして添加することを特徴とするLSIデバイスのエッチング方法。
  11. Cu配線とSiCO低誘電率膜及びSiC拡散防止膜で構成されるダマシン構造のLSIデバイスをプラズマエッチングする方法において、
    前記拡散防止膜を前記低誘電率膜に対して選択的にエッチングする際に、エッチングガスとしてSO2とNF3を混合して用いることを特徴とするLSIデバイスのエッチング方法。
  12. Cu配線と低誘電率膜及び拡散防止膜で構成されるLSIデバイスをプラズマエッチングする装置において、
    エッチングガスの導入される処理室に前記デバイスを載置する電極つきの支持台を有し、前記エッチングガスをプラズマ化すると共に前記電極に高周波電源を与えてプラズマ中のイオンにより前記デバイスをエッチングするプラズマ処理装置を備え、
    前記拡散防止膜を前記低誘電率膜に対して選択的にエッチングする際に、前記エッチングガスとして硫黄Sを含むガスと窒素Nとフッ素Fを含むガスを混合することを特徴とするLSIデバイスのエッチング装置。
  13. 請求項12において、
    前記プラズマ処理装置は、解離種や反応生成物の付着率を変化させるために、前記支持台の温度を変化させる温度制御手段を有していることを特徴とするLSIデバイスのエッチング装置。
JP2003203790A 2003-07-30 2003-07-30 Lsiデバイスのエッチング方法および装置 Expired - Fee Related JP3866694B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003203790A JP3866694B2 (ja) 2003-07-30 2003-07-30 Lsiデバイスのエッチング方法および装置
US10/780,670 US6919274B2 (en) 2003-07-30 2004-02-19 LSI device etching method and apparatus thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003203790A JP3866694B2 (ja) 2003-07-30 2003-07-30 Lsiデバイスのエッチング方法および装置

Publications (2)

Publication Number Publication Date
JP2005050908A true JP2005050908A (ja) 2005-02-24
JP3866694B2 JP3866694B2 (ja) 2007-01-10

Family

ID=34100648

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003203790A Expired - Fee Related JP3866694B2 (ja) 2003-07-30 2003-07-30 Lsiデバイスのエッチング方法および装置

Country Status (2)

Country Link
US (1) US6919274B2 (ja)
JP (1) JP3866694B2 (ja)

Cited By (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006245268A (ja) * 2005-03-03 2006-09-14 Fujitsu Ltd 半導体装置の製造方法
JP2007142099A (ja) * 2005-11-17 2007-06-07 Tokyo Electron Ltd プラズマエッチング方法
JP2011258769A (ja) * 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
JP2012505530A (ja) * 2008-10-07 2012-03-01 アプライド マテリアルズ インコーポレイテッド 窒化シリコンの選択エッチング
KR20130066636A (ko) * 2010-05-27 2013-06-20 어플라이드 머티어리얼스, 인코포레이티드 실리콘 막들에 대한 선택적인 식각
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4733519B2 (ja) * 2002-10-25 2011-07-27 エリコン ソーラー アーゲー,トゥルーバッハ 半導体装置の製造方法及びこの方法で得られた半導体装置
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US7456111B2 (en) * 2004-11-16 2008-11-25 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US7566664B2 (en) * 2006-08-02 2009-07-28 Qualcomm Mems Technologies, Inc. Selective etching of MEMS using gaseous halides and reactive co-etchants
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7767365B2 (en) * 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
US20080079587A1 (en) * 2006-09-29 2008-04-03 Ahmadreza Rofougaran Method And System For Utilizing Magnetic On-Chip Coil For Ultra High Frequency (UHF)
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7648806B2 (en) * 2007-02-02 2010-01-19 Micron Technology, Inc. Phase shift mask with two-phase clear feature
EP2104948A2 (en) * 2007-02-20 2009-09-30 Qualcomm Mems Technologies, Inc. Equipment and methods for etching of mems
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
RU2471210C2 (ru) 2007-07-25 2012-12-27 Квалкомм Мемс Текнолоджис, Инк. Дисплеи на основе микроэлектромеханических систем и способы их изготовления
US8023191B2 (en) * 2008-05-07 2011-09-20 Qualcomm Mems Technologies, Inc. Printable static interferometric images
JP2009302181A (ja) * 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
US20100022091A1 (en) * 2008-07-25 2010-01-28 Li Siyi Method for plasma etching porous low-k dielectric layers
US20100051577A1 (en) * 2008-09-03 2010-03-04 Micron Technology, Inc. Copper layer processing
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299605B2 (en) 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
WO2015170676A1 (ja) * 2014-05-07 2015-11-12 東京エレクトロン株式会社 プラズマエッチング処理方法
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10268526A (ja) * 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6787462B2 (en) * 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10268526A (ja) * 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法

Cited By (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4540504B2 (ja) * 2005-03-03 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2006245268A (ja) * 2005-03-03 2006-09-14 Fujitsu Ltd 半導体装置の製造方法
JP2007142099A (ja) * 2005-11-17 2007-06-07 Tokyo Electron Ltd プラズマエッチング方法
JP2012505530A (ja) * 2008-10-07 2012-03-01 アプライド マテリアルズ インコーポレイテッド 窒化シリコンの選択エッチング
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
KR20130066636A (ko) * 2010-05-27 2013-06-20 어플라이드 머티어리얼스, 인코포레이티드 실리콘 막들에 대한 선택적인 식각
KR101884262B1 (ko) * 2010-05-27 2018-08-01 어플라이드 머티어리얼스, 인코포레이티드 실리콘 막들에 대한 선택적인 식각
JP2011258769A (ja) * 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US6919274B2 (en) 2005-07-19
JP3866694B2 (ja) 2007-01-10
US20050026431A1 (en) 2005-02-03

Similar Documents

Publication Publication Date Title
JP3866694B2 (ja) Lsiデバイスのエッチング方法および装置
Baklanov et al. Plasma processing of low-k dielectrics
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
KR100854609B1 (ko) 피쳐 에칭 방법
US6008139A (en) Method of etching polycide structures
JPH04326726A (ja) ドライエッチング方法
KR100874813B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
KR20100135243A (ko) 개선된 밀도와 도포율을 갖는 비정질 탄소의 증착 방법
KR100430807B1 (ko) 플라즈마 성막 방법
CN108780749B (zh) 等离子体蚀刻方法
JPH0613349A (ja) ドライエッチング方法
JPH06151385A (ja) SiOx材料をプラズマエッチングするための方法および集積回路内の層間の金属接続部を生成するための方法
KR100382387B1 (ko) 플라즈마 처리 방법
TW200305216A (en) Method of etching and etching apparatus
JPH04346427A (ja) ドライエッチング方法
JPH11111680A (ja) エッチング方法
JP4067357B2 (ja) エッチング方法
JP4889199B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
Morikawa et al. Investigations of surface reactions in neutral loop discharge plasma for high-aspect-ratio SiO2 etching
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
JP4144795B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JPH10177992A (ja) 微細コンタクトホールのテーパエッチング方法
JP2005123406A (ja) プラズマエッチング方法。
JP4990551B2 (ja) ドライエッチング方法
US20070034601A1 (en) Surface treating method and surface-treating apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060523

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060721

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061005

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091013

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101013

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111013

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121013

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121013

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131013

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees