JP7109397B2 - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
JP7109397B2
JP7109397B2 JP2019046077A JP2019046077A JP7109397B2 JP 7109397 B2 JP7109397 B2 JP 7109397B2 JP 2019046077 A JP2019046077 A JP 2019046077A JP 2019046077 A JP2019046077 A JP 2019046077A JP 7109397 B2 JP7109397 B2 JP 7109397B2
Authority
JP
Japan
Prior art keywords
film
gas
substrate
sam
titanium nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019046077A
Other languages
English (en)
Other versions
JP2020147788A (ja
Inventor
進一 池
秀司 東雲
有美子 河野
博紀 村上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019046077A priority Critical patent/JP7109397B2/ja
Priority to KR1020217031444A priority patent/KR20210139299A/ko
Priority to PCT/JP2020/008224 priority patent/WO2020184212A1/ja
Priority to US17/593,065 priority patent/US11830741B2/en
Publication of JP2020147788A publication Critical patent/JP2020147788A/ja
Application granted granted Critical
Publication of JP7109397B2 publication Critical patent/JP7109397B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本開示の種々の側面および実施形態は、成膜方法に関する。
半導体デバイスの製造において、基板の表面の特定の領域に選択的に膜を形成する技術として、フォトグラフィ技術が広く用いられている。例えば、下層配線形成後に絶縁膜を成膜し、フォトリソグラフィおよびエッチングによりトレンチおよびビアホールを有するデュアルダマシン構造を形成し、トレンチおよびビアホールにCu等の導電膜を埋め込んで配線を形成する。
しかし、近年、半導体デバイスの微細化が益々進んでおり、フォトリソグラフィ技術では位置合わせ精度が十分でない場合も生じている。
このため、フォトリソグラフィ技術を用いずに、基板の表面の特定の領域に、選択的に膜を形成する手法が求められている。そのような手法として、膜形成を望まない基板の表面の領域に自己組織化単分子膜(Self-Assembled Monolayer:SAM)を形成し、SAMが形成されていない基板の表面の領域にのみ所定の膜を形成する技術が提案されている(例えば特許文献1~4および非特許文献1参照)。
特表2007-501902号公報 特表2007-533156号公報 特表2010-540773号公報 特表2013-520028号公報
本開示は、選択成膜を用いた半導体デバイスの生産性を向上させることができる成膜方法を提供する。
本開示の一側面は、基板に選択的に成膜を行う成膜方法であって、準備工程と、第1の除去工程と、第1の成膜工程と、第2の成膜工程と、酸化工程と、第2の除去工程とを含む。準備工程では、表面に金属膜と絶縁膜とが露出している基板が準備される。第1の除去工程では、金属膜上の自然酸化膜が除去される。第1の成膜工程では、フッ素および炭素を含む官能基を有し、チタン窒化膜の成膜を抑制する自己組織化単分子膜を成膜するための化合物を基板上に供給することにより、絶縁膜上に自己組織化単分子膜が成膜される。第2の成膜工程では、金属膜上にチタン窒化膜が成膜される。酸化工程では、基板の表面が酸化される。第2の除去工程では、基板の表面に自己組織化単分子膜を成膜するための化合物を供給することにより、金属膜上および自己組織化単分子膜上に形成されたチタン酸化膜が除去される。
本開示の種々の側面および実施形態によれば、選択成膜を用いた半導体デバイスの生産性を向上させることができる。
図1は、本開示の一実施形態における成膜システムの一例を示す模式図である。 図2は、本開示の一実施形態における成膜方法の一例を示すフローチャートである。 図3は、準備工程において準備される基板の一例を示す断面図である。 図4は、金属膜上の自然酸化膜が除去された後の基板の一例を示す断面図である。 図5は、絶縁膜上にSAMが成膜された後の基板の一例を示す断面図である。 図6は、チタン窒化膜が成膜された後の基板の一例を示す断面図である。 図7は、チタン窒化膜の表面が酸化された後の基板の一例を示す断面図である。 図8は、チタン酸化膜が除去された後の基板の一例を示す断面図である。
以下に、開示される成膜方法の実施形態について、図面に基づいて詳細に説明する。なお、以下の実施形態により、開示される成膜方法が限定されるものではない。
ところで、従来の選択成膜では、表面に金属膜および絶縁膜が露出している基板上にSAMが供給され、絶縁膜上にSAMが形成される。そして、基板上にチタン窒化膜を成膜する際に、絶縁膜上へのチタン窒化膜の成膜がSAMにより抑制され、金属膜上にチタン窒化膜が成膜される。しかし、金属膜上よりもチタン窒化膜の核の成長速度が遅いものの、SAM上にもチタン窒化膜の核が成長する。そのため、チタン窒化膜の成膜を続けると、SAM上にもチタン窒化膜が成膜されてしまう。そのため、チタン窒化膜の成膜がある程度進行した段階で、SAM上のチタン窒化膜の核が除去される。
チタン窒化膜の核は、例えば基板の表面にフッ化水素を供給することにより、除去することができる。しかし、SAMはフッ化水素によって容易に分解されてしまう。そのため、基板の表面にフッ化水素が供給されることにより、絶縁膜上のSAMが減少し、SAMにおける絶縁膜上へのチタン窒化膜の成膜を抑制する機能が低下してしまう。そのため、チタン窒化膜の核が除去された後、そのままチタン窒化膜の成膜を続けると、絶縁膜上にもチタン窒化膜が成膜されやすくなってしまう。
そのため、選択成膜を行うためには、フッ化水素によってチタン窒化膜の核が除去された後、絶縁膜上に再びSAMを吸着させる処理を行うことにより、SAMにおける絶縁膜上へのチタン窒化膜の成膜を抑制する機能を回復させる必要がある。絶縁膜の表面にSAMを高密度で吸着させる処理には時間がかかるため、金属膜のみに選択的に所望の膜厚のチタン窒化膜を成膜する処理全体の生産性の向上が求められている。
そこで、本開示は、選択成膜を用いた半導体デバイスの生産性を向上させることができる技術を提供する。
[成膜システム]
図1は、本開示の一実施形態における成膜システム100の一例を示す模式図である。成膜システム100は、プラズマ処理装置200、SAM供給装置300、成膜装置400、および酸化装置500を有する。これら装置は、平面形状が七角形をなす真空搬送室101の4つの側壁にそれぞれゲートバルブGを介して接続されている。成膜システム100は、マルチチャンバータイプの真空処理システムである。真空搬送室101内は、真空ポンプにより排気されて所定の真空度に保たれている。成膜システム100は、プラズマ処理装置200、SAM供給装置300、成膜装置400、および酸化装置500を用いて、表面に金属膜および絶縁膜が露出している基板Wの絶縁膜にチタン窒化膜を選択的に成膜することができる。
プラズマ処理装置200は、プラズマにより基板Wの金属膜の表面の自然酸化膜を除去する処理を行う。本実施形態において、プラズマ処理装置200は、例えば水素ガスのプラズマを用いて基板Wの金属膜の表面の自然酸化膜を除去する。
SAM供給装置300は、基板Wの表面に、SAMを形成するための有機化合物のガスを供給することにより、基板Wの絶縁膜の領域にSAMを成膜する。また、SAM供給装置300は、酸化処理後の基板Wに対して、SAMを形成するための有機化合物ガスを供給することにより、チタン酸化膜の除去を行う。
本実施形態において、SAMを形成するための有機化合物は、フッ素および炭素を含む官能基を有し、チタン窒化膜の成膜を抑制する機能を有する。SAMを形成するための有機化合物は、例えば、絶縁膜の表面に吸着する結合性官能基、フッ素および炭素を含む機能性官能基、および、結合性官能基と機能性官能基とをつなぐアルキル鎖を有する有機化合物である。このような有機化合物としては、例えば、トリクロロシラン系のSAM、メトキシシラン系のSAM、およびエトキシシラン系のSAM等を用いることができる。トリクロロシラン系のSAMとしては、例えばCF3(CF2XCH2CH2SiCl3が挙げられる。メトキシシラン系のSAMとしては、例えばCF3(CF2XCH2CH2Si(OCH33が挙げられる。エトキシシラン系のSAMとしては、例えばCF3(CF2XCH2CH2Si(OCH2CH33が挙げられる。なお、上記した化学式における「X」は、いずれも0~13の整数である。
成膜装置400は、基板Wの金属膜上にチタン窒化膜を成膜する。本実施形態において、成膜装置400は、原料ガスおよび反応ガスを用いたALD(Atomic Layer Deposition)により、基板W上にチタン窒化膜を成膜する。原料ガスとしては、例えばTDMATi(テトラキス(ジメチルアミノ)チタン)やTEMATi(テトラキス(エチルメチルアミノ)チタン)等の有機化合物のガスやTiCl4ガス等を用いることができる。反応ガスとしては、例えばNH3ガス等を用いることができる。
酸化装置500は、成膜装置400によってチタン窒化膜が成膜された後の基板Wの表面を酸化する処理を行う。本実施形態において、基板Wの表面の酸化は、例えば基板W表面にH2Oガスを供給することにより行われる。なお、基板Wの表面の酸化は、例えば基板W表面にH22ガス、O2ガス、またはO3ガスを供給することにより行われてもよい。
真空搬送室101の他の3つの側壁には、3つのロードロック室102がゲートバルブG1を介して接続されている。ロードロック室102を挟んで真空搬送室101の反対側には、大気搬送室103が設けられている。3つのロードロック室102のそれぞれは、ゲートバルブG2を介して大気搬送室103に接続されている。ロードロック室102は、大気搬送室103と真空搬送室101との間で基板Wを搬送する際に、大気圧と真空との間で圧力制御を行う。
大気搬送室103のゲートバルブG2が設けられた側面とは反対側の側面には、基板Wを収容するキャリア(FOUP(Front-Opening Unified Pod)等)Cを取り付けるための3つのポート105が設けられている。また、大気搬送室103の側壁には、基板Wのアライメントを行うためのアライメント室104が設けられている。大気搬送室103内には清浄空気のダウンフローが形成される。
真空搬送室101内には、ロボットアーム等の搬送機構106が設けられている。搬送機構106は、プラズマ処理装置200、SAM供給装置300、成膜装置400、酸化装置500、およびそれぞれのロードロック室102の間で基板Wを搬送する。搬送機構106は、独立に移動可能な2つのアーム107aおよび107bを有する。
大気搬送室103内には、ロボットアーム等の搬送機構108が設けられている。搬送機構108は、それぞれのキャリアC、それぞれのロードロック室102、およびアライメント室104の間で基板Wを搬送する。
成膜システム100は、メモリ、プロセッサ、および入出力インターフェイスを有する制御装置110を有する。メモリには、プロセッサによって実行されるプログラム、および、各処理の条件等を含むレシピが格納されている。プロセッサは、メモリから読み出したプログラムを実行し、メモリ内に記憶されたレシピに基づいて、入出力インターフェイスを介して、成膜システム100の各部を制御する。
[成膜方法]
図2は、本開示の一実施形態における成膜方法の一例を示すフローチャートである。本実施形態では、例えば図1に示された成膜システム100により、表面に金属膜および絶縁膜が露出している基板Wにおいて、金属膜上に選択的にチタン窒化膜が成膜される。図2のフローチャートに示された成膜方法は、制御装置110が成膜システム100の各部を制御することによって実現される。以下では、本開示の一実施形態における成膜方法の一例を、図3~図8を参照しながら説明する。
まず、準備工程が実行される(S10)。ステップS10の準備工程では、例えば図3に示されるように、基材10上に金属膜11および絶縁膜12を有する基板Wが準備される。図3は、準備工程において準備される基板Wの一例を示す断面図である。本実施形態において、基材10は、例えばシリコン等であり、金属膜11は、例えばアモルファスシリコン、銅、タングステン、またはコバルト等の膜であり、絶縁膜12は、例えばシリコン酸化膜、シリコン窒化膜、またはスピンオンカーボン膜等である。金属膜11の表面には、基板Wが大気中を搬送される過程で自然酸化膜13が形成される。
ステップS10において準備された基板Wは、キャリアCに収容されてポート105にセットされる。そして、搬送機構108によってキャリアCから取り出され、アライメント室104を経由した後に、いずれかのロードロック室102内に搬入される。そして、ロードロック室102内が真空排気された後、搬送機構106によって、基板Wがロードロック室102から搬出されて、プラズマ処理装置200内に搬入される。
次に、第1の除去工程が実行される(S11)。ステップS11の第1の除去工程では、基板Wが搬入されたプラズマ処理装置200内で例えば水素ガスのプラズマが生成されることにより、基板Wの金属膜11の表面に形成された自然酸化膜13が除去される。これにより、金属膜11の表面が水素原子で終端される。ステップS11の第1の除去工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100~450℃
圧力:1~10Torr
水素ガスの流量:100~2000sccm
RFの周波数:450kHz~13.56MHz
RFの電力:100~500W
処理時間:10~300秒
これにより、基板Wの状態は、例えば図4のようになる。図4は、金属膜11上の自然酸化膜13が除去された後の基板Wの一例を示す断面図である。ステップS11の処理が実行された後、基板Wは、搬送機構106によってプラズマ処理装置200から搬出され、SAM供給装置300内に搬入される。
次に、第1の成膜工程が実行される(S12)。ステップS12の第1の成膜工程では、基板Wが搬入されたSAM供給装置300内に、SAMを形成するための有機化合物のガスが供給される。SAM供給装置300内に供給された有機化合物の分子は、基板W上において、水素原子で終端された金属膜11の表面には吸着せず、OH基を有する絶縁膜12の表面に吸着し、絶縁膜12上にSAMを形成する。ステップS12の第1の成膜工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100~250℃(好ましくは120℃)
圧力:1~100Torr(好ましくは20Torr)
有機化合物のガスの流量:50~500sccm(例えば100sccm)
処理時間:10~300秒(例えば180秒)
これにより、基板Wの状態は、例えば図5のようになる。図5は、絶縁膜12上にSAM14が成膜された後の基板Wの一例を示す断面図である。ステップS12の処理が実行された後、基板Wは、搬送機構106によってSAM供給装置300から搬出され、成膜装置400内に搬入される。
次に、第2の成膜工程が実行される(S13)。ステップS13の第2の成膜工程では、基板Wが搬入された成膜装置400において、ALDにより基板W上にチタン窒化膜が積層される。ALDでは、吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むサイクルが所定回数繰り返される。
吸着工程では、成膜装置400内に、例えばTDMATiのガス等の原料ガスが供給される。これにより、原料ガスの分子が金属膜11の表面に化学吸着する。ただし、原料ガスの分子はSAM14上にはほとんど吸着しない。吸着工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100~250℃(好ましくは200℃)
圧力:1~10Torr(好ましくは3Torr)
原料ガスの流量:10~500sccm
処理時間:0.3~10秒
第1のパージ工程では、窒素ガス等の不活性ガスが成膜装置400内に供給されることにより、金属膜11上に過剰に吸着した原料ガスの分子が除去される。第1のパージ工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100~250℃(好ましくは200℃)
圧力:1~10Torr(好ましくは3Torr)
不活性ガスの流量:1000~6000sccm
処理時間:3~30秒
反応工程では、成膜装置400内に、例えばNH3ガス等の反応ガスが供給され、反応ガスの分子と金属膜11上に吸着した原料ガスの分子とが反応し、金属膜11上にチタン窒化膜が成膜される。このとき、SAM14上にはほとんど原料ガスの分子が存在しないので、SAM14上にはチタン窒化膜がほとんど成膜されない。反応工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100~250℃(好ましくは200℃)
圧力:1~10Torr(好ましくは3Torr)
NH3ガスの流量:100~2000sccm(例えば1000sccm)
処理時間:0.3~10秒
第2のパージ工程では、窒素ガス等の不活性ガスが成膜装置400内に供給されることにより、金属膜11上の未反応の原料ガスの分子等が除去される。第2のパージ工程における主な処理条件は、前述の第1のパージ工程における処理条件と同様である。
吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むサイクルが所定回数繰り返されることにより、例えば図6に示されるように、金属膜11上にチタン窒化膜15が成膜される。図6は、チタン窒化膜15が成膜された後の基板Wの一例を示す断面図である。なお、上記サイクルが繰り返されることにより、例えば図6に示されるように、SAM14上にチタン窒化膜の核16が形成される場合がある。
SAM14上にチタン窒化膜の核16が形成された後も、上記サイクルが繰り返されると、核16が成長し、やがてSAM14上にもチタン窒化膜が形成されてしまう。これを防止するために、核16がチタン窒化膜に成長する前に、SAM14上に形成された核16を除去する必要がある。ステップS13の処理が実行された後、基板Wは、搬送機構106によって成膜装置400から搬出され、酸化装置500内に搬入される。
次に、酸化工程が実行される(S14)。ステップS14の酸化工程では、基板Wが搬入された酸化装置500内に、H2Oガス等の酸化ガスが供給される。酸化ガスにより、チタン窒化膜15の表面が酸化される。ステップS14の酸化工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:120~350℃(好ましくは200℃)
圧力:0.5~10Torr(好ましくは1Torr)
酸化ガスの流量:10~1000sccm
処理時間:1~60秒(例えば30秒)
図7は、チタン窒化膜15の表面が酸化された後の基板Wの一例を示す断面図である。例えば図7に示されるように、チタン窒化膜15が酸化されることによりチタン窒化膜15の表面にはチタン酸化膜17が形成される。また、SAM14上の核16も酸化されチタン酸化膜に変化する。ここで、チタン酸化膜17は、チタン窒化膜15の表面のみに形成される。一方、それぞれの核16はチタン窒化膜15よりも小さいため、核16全体が酸化され、チタン酸化膜となる。ステップS14の処理が実行された後、基板Wは、搬送機構106によって酸化装置500から搬出され、再びSAM供給装置300内に搬入される。
次に、第2の除去工程が実行される(S15)。ステップS15の第2の除去工程では、基板Wが搬入されたSAM供給装置300内に、SAMを形成するための有機化合物のガスがさらに供給される。SAM供給装置300内に供給された有機化合物の分子には、フッ素および炭素が含まれる。そのため、SAM供給装置300内に供給された有機化合物のガスにより、チタン窒化膜15上のチタン酸化膜17がフッ化され、例えば図8に示されるように、揮発性のフッ化チタン化合物となってチタン窒化膜15上から離脱する。
一方、SAM供給装置300内に供給された有機化合物のガスにより、SAM14上のチタン酸化膜の核16もフッ化され、揮発性のフッ化チタン化合物となってSAM14上から離脱する。これにより、例えば図8に示されるように、SAM14上の核16が除去される。ステップS15の第2の成膜工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:120~250℃(好ましくは200℃)
圧力:0.5~10Torr(好ましくは1Torr)
有機化合物のガスの流量:50~500sccm(例えば100sccm)
処理時間:1~60秒(例えば30秒)
次に、ステップS13~S15が所定回数実行されたか否かが判定される(S16)。所定回数とは、金属膜11上に所定の厚さのチタン窒化膜15が形成される回数である。ステップS13~S15が所定回数実行されていない場合(S16:No)、再びステップS13に示された処理が実行される。
一方、ステップS13~S15が所定回数実行された場合(S16:Yes)、搬送機構106によって、基板WがSAM供給装置300から搬出されて、いずれかのロードロック室102内に搬入される。そして、ロードロック室102内が大気圧に戻された後、搬送機構108によって基板Wがロードロック室102から搬出され、キャリアCに戻される。そして、本フローチャートに示された成膜方法が終了する。
ここで、SAM14上の核16をフッ化水素等を用いたエッチングにより除去する場合、核16は除去されるが、SAM14もダメージを受け、SAM14を構成する分子が減少する。そのため、SAM14上の核16が除去された後、そのままチタン窒化膜の成膜を続けると、絶縁膜12上にチタン窒化膜が成膜されやすくなってしまう。そのため、絶縁膜12上へのチタン窒化膜の成膜を抑制するためには、SAM14上の核16が除去された後、絶縁膜12上に再びSAM14を構成する分子を吸着させる処理が必要になる。これにより、所望の厚さのチタン窒化膜15を選択的に積層させるのに要する時間が長くなり、基板Wを用いた半導体デバイスの生産性の向上が難しい。
これに対し、本実施形態では、ステップS15において、SAM供給装置300内に供給された有機化合物のガスによってSAM14上の核16が除去されるため、SAM14上の核16が除去される際のSAM14へのダメージがない。そのため、絶縁膜12上に再びSAM14を構成する分子を吸着させる処理を省くことができる。これにより、所望の厚さのチタン窒化膜15を選択的に積層させるのに要する時間を短くすることができ、基板Wを用いた半導体デバイスの生産性を向上させることができる。
以上、一実施形態について説明した。上記したように、本実施形態における成膜方法は、基板Wに選択的に成膜を行う成膜方法であって、準備工程と、第1の除去工程と、第1の成膜工程と、第2の成膜工程と、酸化工程と、第2の除去工程とを含む。準備工程では、表面に金属膜11と絶縁膜12とが露出している基板Wが準備される。第1の除去工程では、金属膜11上の自然酸化膜13が除去される。第1の成膜工程では、フッ素および炭素を含む官能基を有し、チタン窒化膜の成膜を抑制するSAM14を形成するための有機化合物を基板W上に供給することにより、絶縁膜12上にSAM14が成膜される。第2の成膜工程では、金属膜11上にチタン窒化膜15が成膜される。酸化工程では、基板Wの表面が酸化される。第2の除去工程では、基板Wの表面にSAM14を形成するための有機化合物を供給することにより、金属膜11上およびSAM14上に形成されたチタン酸化膜が除去される。これにより、選択成膜を用いた半導体デバイスの生産性を向上させることができる。
また、上記した実施形態において、SAM14を形成するための有機化合物は、絶縁膜12の表面に吸着する結合性官能基と、フッ素および炭素を含む機能性官能基とを有する有機化合物である。SAM14を形成するための有機化合物は、例えば、トリクロロシラン系のSAM、メトキシシラン系のSAM、およびエトキシシラン系のSAM等を用いることができる。トリクロロシラン系のSAMとしては、例えばCF3(CF2XCH2CH2SiCl3が挙げられる。メトキシシラン系のSAMとしては、例えばCF3(CF2XCH2CH2Si(OCH33が挙げられる。エトキシシラン系のSAMとしては、例えばCF3(CF2XCH2CH2Si(OCH2CH33が挙げられる。なお、上記した化学式における「X」は、いずれも0~13の整数である。これにより、金属膜11上にはSAM14が形成されず、絶縁膜12上にSAM14が選択的に形成される。
また、上記した実施形態において、酸化工程では、H2Oガス、H22ガス、O2、またはO3ガスを基板Wの表面に供給することにより、基板Wの表面が酸化される。これにより、SAM14上のチタン窒化膜の核16を酸化させることができ、次に行われる第2の除去工程でSAM14上の核16を除去することができる。
また、上記した実施形態において、金属膜11は、アモルファスシリコン、銅、タングステン、またはコバルトの膜であり、絶縁膜12は、シリコン酸化膜、シリコン窒化膜、またはスピンオンカーボン膜である。これにより、基板W上でのチタン窒化膜の選択成膜を実現することができる。
また、上記した実施形態において、第1の除去工程では、プラズマにより金属膜11上の自然酸化膜13が除去される。これにより、SAM14が金属膜11表面に吸着することを抑制することができる。
[その他]
なお、本願に開示された技術は、上記した実施形態に限定されるものではなく、その要旨の範囲内で数々の変形が可能である。
例えば、上記した実施形態において、ステップS15の第2の除去工程では、基板Wが搬入されたSAM供給装置300内に、有機化合物のガスを供給することにより、チタン窒化膜15上のチタン酸化膜17と、SAM14上の核16とが除去される。しかし、開示の技術はこれに限られない。例えば、ステップS15の第2の除去工程では、基板Wが搬入されたSAM供給装置300内に、SAM14を形成するための有機化合物のガスに加えて、フッ素含有ガスが供給されてもよい。フッ素含有ガスは、例えば、フッ化水素ガス、四フッ化炭素ガス、モノフルオロメタンガス、ジフルオロメタンガス、トリフルオロメタンガス、六フッ化エタンガス、八フッ化プロパンガス、八フッ化シクロブタンガス、ヘキサフルオロ-1,3-ブタジエンガス、六フッ化硫黄ガス、三フッ化窒素ガス、および三フッ化塩素ガスの中から選択される少なくとも1つのガスである。これにより、ステップS15の第2の除去工程に要する時間を短縮することができる。
ここで、SAM14が形成された基板Wの表面に、フッ素含有ガスが供給されると、SAM14を構成する一部の分子が分解される。しかし、SAM供給装置300内には、フッ素含有ガスの他に、SAM14を形成するための有機化合物のガスも供給されている。そのため、SAM14を構成する一部の分子が分解されても、直ちに補充されるため、SAM14におけるチタン窒化膜の積層を抑制する機能は維持される。
また、上記した実施形態において、ステップS11の第1の除去工程では、水素ガスのプラズマを用いて金属膜11の表面に形成された自然酸化膜13が除去されたが、開示の技術はこれに限られない。例えば、フッ化水素酸等を用いたウエットエッチングにより、金属膜11の表面に形成された自然酸化膜13が除去されてもよい。
また、上記した実施形態において、ステップS13の第2の成膜工程では、ALDにより基板W上にチタン窒化膜が積層されたが、開示の技術はこれに限られない。他の例として、チタン窒化膜は、CVD(Chemical Vapor Deposition)により基板W上に成膜されてもよい。
また、上記した実施形態において、成膜システム100には、プラズマ処理装置200、SAM供給装置300、成膜装置400、および酸化装置500がそれぞれ1台ずつ設けられるが、開示の技術はこれに限られない。例えば、成膜システム100には、最も時間のかかる処理を行う装置が複数設けられ、それ以外の処理については、1台の装置で実現するようにしてもよい。例えば、ステップS12およびS15の処理に時間がかかる場合、ステップS12およびS15の処理を行うSAM供給装置300が複数設けられ、ステップS11、S13、およびS14の処理を行う装置が1台設けられてもよい。これにより、複数の基板Wを処理する場合の処理の待ち時間を削減することができる。
なお、今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。実に、上記した実施形態は多様な形態で具現され得る。また、上記の実施形態は、添付の特許請求の範囲およびその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
C キャリア
G ゲートバルブ
W 基板
10 基材
11 金属膜
12 絶縁膜
13 自然酸化膜
14 SAM
15 チタン窒化膜
16 核
17 チタン酸化膜
100 成膜システム
101 真空搬送室
102 ロードロック室
103 大気搬送室
104 アライメント室
105 ポート
106 搬送機構
107 アーム
108 搬送機構
110 制御装置
200 プラズマ処理装置
300 SAM供給装置
400 成膜装置
500 酸化装置

Claims (8)

  1. 基板に選択的に成膜を行う成膜方法において、
    表面に金属膜と絶縁膜とが露出している基板を準備する準備工程と、
    前記金属膜上の自然酸化膜を除去する第1の除去工程と、
    フッ素および炭素を含む官能基を有し、チタン窒化膜の成膜を抑制する自己組織化単分子膜を成膜するための化合物を前記基板上に供給することにより、前記絶縁膜上に前記自己組織化単分子膜を成膜する第1の成膜工程と、
    前記金属膜上にチタン窒化膜を成膜する第2の成膜工程と、
    前記基板の表面を酸化する酸化工程と、
    前記基板の表面に前記化合物を供給することにより、前記金属膜上および前記自己組織化単分子膜上に形成されたチタン酸化膜を除去する第2の除去工程と
    を含む成膜方法。
  2. 前記化合物は、前記絶縁膜の表面に吸着する結合性官能基と、フッ素および炭素を含む機能性官能基とを有する請求項1に記載の成膜方法。
  3. 前記化合物は、トリクロロシラン系、メトキシシラン系、またはエトキシシラン系の自己組織化単分子膜材料である請求項2に記載の成膜方法。
  4. 前記酸化工程では、H2Oガス、H22ガス、O2ガス、またはO3ガスを前記基板の表面に供給することにより、前記基板の表面が酸化される請求項1から3のいずれか一項に記載の成膜方法。
  5. 前記金属膜は、アモルファスシリコン、銅、タングステン、またはコバルトの膜であり、
    前記絶縁膜は、シリコン酸化膜、シリコン窒化膜、またはスピンオンカーボン膜である請求項1から4のいずれか一項に記載の成膜方法。
  6. 前記第1の除去工程では、プラズマにより前記金属膜上の自然酸化膜が除去される請求項1から5のいずれか一項に記載の成膜方法。
  7. 前記第2の除去工程では、前記化合物に加えて、フッ素含有ガスを前記基板の表面に供給することにより、前記金属膜上および前記自己組織化単分子膜上に形成されたチタン酸化膜を除去する請求項1から6のいずれか一項に記載の成膜方法。
  8. 前記フッ素含有ガスは、フッ化水素ガス、四フッ化炭素ガス、モノフルオロメタンガス、ジフルオロメタンガス、トリフルオロメタンガス、六フッ化エタンガス、八フッ化プロパンガス、八フッ化シクロブタンガス、ヘキサフルオロ-1,3-ブタジエンガス、六フッ化硫黄ガス、三フッ化窒素ガス、および三フッ化塩素ガスの中から選択される少なくとも1つのガスである請求項7に記載の成膜方法。
JP2019046077A 2019-03-13 2019-03-13 成膜方法 Active JP7109397B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019046077A JP7109397B2 (ja) 2019-03-13 2019-03-13 成膜方法
KR1020217031444A KR20210139299A (ko) 2019-03-13 2020-02-28 성막 방법
PCT/JP2020/008224 WO2020184212A1 (ja) 2019-03-13 2020-02-28 成膜方法
US17/593,065 US11830741B2 (en) 2019-03-13 2020-02-28 Method for forming film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019046077A JP7109397B2 (ja) 2019-03-13 2019-03-13 成膜方法

Publications (2)

Publication Number Publication Date
JP2020147788A JP2020147788A (ja) 2020-09-17
JP7109397B2 true JP7109397B2 (ja) 2022-07-29

Family

ID=72426024

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019046077A Active JP7109397B2 (ja) 2019-03-13 2019-03-13 成膜方法

Country Status (4)

Country Link
US (1) US11830741B2 (ja)
JP (1) JP7109397B2 (ja)
KR (1) KR20210139299A (ja)
WO (1) WO2020184212A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022055462A (ja) * 2020-09-29 2022-04-08 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2022091523A (ja) * 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010540773A (ja) 2007-09-26 2010-12-24 イーストマン コダック カンパニー 無機材料の選択領域堆積法
JP2013520028A (ja) 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール
JP2018046279A (ja) 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
JP2018100446A (ja) 2016-11-29 2018-06-28 エーエスエム アイピー ホールディング ビー.ブイ. 酸化物薄膜の堆積
JP2018170409A (ja) 2017-03-30 2018-11-01 東京エレクトロン株式会社 選択成長方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7030001B2 (en) 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US10332747B1 (en) * 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
TW201943881A (zh) * 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010540773A (ja) 2007-09-26 2010-12-24 イーストマン コダック カンパニー 無機材料の選択領域堆積法
JP2013520028A (ja) 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール
JP2018046279A (ja) 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
JP2018100446A (ja) 2016-11-29 2018-06-28 エーエスエム アイピー ホールディング ビー.ブイ. 酸化物薄膜の堆積
JP2018170409A (ja) 2017-03-30 2018-11-01 東京エレクトロン株式会社 選択成長方法

Also Published As

Publication number Publication date
US11830741B2 (en) 2023-11-28
KR20210139299A (ko) 2021-11-22
US20220189778A1 (en) 2022-06-16
JP2020147788A (ja) 2020-09-17
WO2020184212A1 (ja) 2020-09-17

Similar Documents

Publication Publication Date Title
US10818489B2 (en) Atomic layer deposition of silicon carbon nitride based material
JP6992089B2 (ja) 誘電体膜の選択的堆積のための方法及び装置
TWI808199B (zh) 選擇性地形成膜之方法及系統
CN110581067A (zh) 蚀刻方法及蚀刻装置
JP2021044534A (ja) 成膜方法
WO2022039032A1 (ja) 成膜方法および成膜システム
KR102571409B1 (ko) 성막 방법
KR20190037126A (ko) 선택 성막 방법 및 반도체 장치의 제조 방법
JP7109397B2 (ja) 成膜方法
WO2021060111A1 (ja) 成膜方法
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
US11527407B2 (en) Vapor deposition of carbon-based films
WO2022190889A1 (ja) 成膜方法および成膜システム
WO2021060109A1 (ja) 成膜方法
KR20240005938A (ko) 고품질 선택적 실리콘 질화물 증착을 위한 통합된 방법 및 도구
JP2023009762A (ja) エッチング方法
JP2024506395A (ja) シリコンベースの誘電体膜の堆積
CN114698381A (zh) 在金属表面上选择性沉积杂环钝化膜

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220621

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220719

R150 Certificate of patent or registration of utility model

Ref document number: 7109397

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150