JP6681398B2 - ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物 - Google Patents

ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物 Download PDF

Info

Publication number
JP6681398B2
JP6681398B2 JP2017530172A JP2017530172A JP6681398B2 JP 6681398 B2 JP6681398 B2 JP 6681398B2 JP 2017530172 A JP2017530172 A JP 2017530172A JP 2017530172 A JP2017530172 A JP 2017530172A JP 6681398 B2 JP6681398 B2 JP 6681398B2
Authority
JP
Japan
Prior art keywords
zirconium
cyclopentadienyltris
germyl
trifluoromethyl
tris
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017530172A
Other languages
English (en)
Other versions
JP2018502449A (ja
Inventor
クレマン・ランスロット−マトラス
ジュリアン・ライフリッグ
華 石井
華 石井
クリスチャン・デュサラ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2018502449A publication Critical patent/JP2018502449A/ja
Application granted granted Critical
Publication of JP6681398B2 publication Critical patent/JP6681398B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/30Germanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

(関連出願の相互参照)
本出願は、本明細書においてその全体がすべての目的のために参照により援用されている、2014年12月23日に出願の米国特許出願公開第14/580,324号明細書の利益を主張する。
ゲルマニウムおよびジルコニウム含有前駆体を含むジルコニウム含有膜形成組成物が開示されている。また、本開示の組成物を合成する方法、および本開示の組成物を用いて、蒸着プロセスでジルコニウム含有膜を基板上に堆積する方法も開示されている。
ダイナミックランダムアクセスメモリ(DRAM)などの半導体素子の小型化に伴い、誘電率が高い新規の材料が求められている。実際に、小さい表面積を有するコンデンサで十分な静電容量を達成するために、誘電率がより高いコンデンサが必要とされている。高k誘電体のうち、誘電率がSiOまたはAlよりも高いために、HfOまたはZrOなどの第4族系の材料がきわめて有望である。しかしながら、これらの誘電率は結晶形態によって様々である(Thin Solid Films 486(2005)125−128)。
厚いZrO層は、不安定な結晶相を有すると共に漏れ電流が高い傾向にある(Applied Physics Reviews(2012)version 14−9−2012)。これらの欠点を防ぐために、ZrOの2つの層の間にAlの薄い層を導入して、いわゆるZAZコンデンサを形成することで、結晶相を安定化させ、漏れ電流を低減することが行われている(Applied Physics Letters 93,033511(2008);J.Vac.Sci.Techno.A 4(6),1986;Microelectronic Engineering 86(2009)1789−1795)。
k値が最も高い相であるZrO層の立方晶相/正方結晶相はまた、少量のケイ素またはゲルマニウムをZrOにドープすることにより安定化が行われている(ケイ素については米国特許公報2013/0208403A1号明細書、およびゲルマニウムについてはJournal of Applied Physics,2009,106,024107;Microelectronic Engineering,2009,86,1626;Applied Physics Letters,2011,99,121909)。
以下のものなどの、シクロペンタジエニルリガンドを含有する第4族アルキルアミド前駆体が開発されている(国際公開第2007/141059号パンフレット(Dussarrat et al.);Niinisto et al.,Journal of Materials Chemistry(2008),18(43),5243−5247)。これらの前駆体は、テトラキスアルキルアミド前駆体と比して高い熱安定性を示す。
Figure 0006681398
(式中、R=H、MeまたはEt;RおよびR=C−Cアルキル基)。
これらの化合物と同様に、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)チタン(IV)などの数種のゲルミルシクロペンタジエニル第4族化合物が報告されている(Journal of Organometallic Chemistry,1975,101,295)。
高温で厚さおよび組成が制御される気相薄膜堆積に好適である、液体または低融点(<50℃)で、熱安定が高く、粘度が低いジルコニウム前駆体分子の開発に対する必要性が残っている。
以下の式を有するゲルマニウムおよびジルコニウム含有前駆体を含むジルコニウム含有膜形成組成物が開示されている。
Figure 0006681398
式中、各R、R、R、R、R、R、R、R、RおよびR10は、H;C1−C5直鎖、分岐もしくは環式アルキル基;または、C1−C5直鎖、分岐もしくは環式フルオロアルキル基から独立して選択される。R、RおよびRは同等であっても異なっていてもよい。R、R、RおよびRは同等であっても異なっていてもよい。各RおよびRは同等であっても異なっていてもよい。各R10は同等であっても異なっていてもよい。本開示のジルコニウム含有膜形成組成物は、以下の態様の1種または複数種をさらに含んでいてもよい。
・各RおよびRおよびRは、独立して、H、F、CF、Me、Et、nPr、iPr、nBu、iBu、sBuまたはtBuから選択され;
・各R、R、RおよびRは、独立して、H、F、CF、Me、Et、nPr、iPr、nBu、iBu、sBuまたはtBuから選択され;
・各RおよびRは、独立して、H、Me、Et、nPr、iPr、nBu、iBu、sBuまたはtBuから選択され;
・各R10は、Me、Et、nPr、iPr、nBu、iBu、sBuまたはtBuであり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(イソ−プロポキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ジフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(モノフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NMe)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NEt)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NEtMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NnPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NiPr)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NnBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NiBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHiBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NsBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NtBu)であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OMe))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OEt))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OnPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OiPr))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OtBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OsBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OnBu))であり;
・ゲルマニウムおよびジルコニウム含有前駆体は、((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OiBu))であり;
・ジルコニウム含有膜形成組成物は、およそ95%w/w〜およそ100%w/wの前駆体を含み;
・ジルコニウム含有膜形成組成物は、およそ98%w/w〜およそ100%w/wの前駆体を含み;
・ジルコニウム含有膜形成組成物は、およそ99%w/w〜およそ100%w/wの前駆体を含み;
・ジルコニウム含有膜形成組成物は、およそ5%w/w〜およそ50%w/wの前駆体を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ5.0%w/wの不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wの不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ1.0%w/wの不純物を含み;
・不純物は、ZrCp(OR10;Zr(OR10;ZrCp(NR(ここで、各Rは独立して、H、C1〜C5直鎖、分岐もしくは環式アルキル基、または、C1〜C5直鎖、分岐もしくは環式フルオロアルキル基である);Zr(NR(ここで、各Rは独立して、H、C1〜C5直鎖、分岐もしくは環式アルキル基、または、C1〜C5直鎖、分岐もしくは環式フルオロアルキル基である);アルコール;アルキルアミン;ジアルキルアミン;アルキルイミン;シクロペンタジエン;ジシクロペンタジエン;(ゲルミル)シクロペンタジエン;アルキルゲルマン;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;塩化金属化合物;リチウム、ナトリウムもしくはカリウムアルキルアミノ;リチウム、ナトリウムもしくはカリウムアルコキシ;および、リチウム、ナトリウムもしくはカリウムシクロペンタジエニルを含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのZrCp(OR10不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのZr(OR10不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのZrCp(NR不純物(ここで、各Rは独立して、H、C1〜C5直鎖、分岐もしくは環式アルキル基、または、C1〜C5直鎖、分岐もしくは環式フルオロアルキル基である)を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのZr(NR不純物(ここで、各Rは独立して、H、C1〜C5直鎖、分岐もしくは環式アルキル基、または、C1〜C5直鎖、分岐もしくは環式フルオロアルキル基である)を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのアルキルアミン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのアルキルイミン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのアルコール不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのシクロペンタジエン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのジシクロペンタジエン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wの(ゲルミル)シクロペンタジエン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのアルキルゲルマン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのTHF不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのエーテル不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのペンタン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのシクロヘキサン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのヘプタン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのベンゼン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのトルエン不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wの塩化金属化合物不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのリチウム、ナトリウムもしくはカリウムシクロペンタジエニル不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのリチウム、ナトリウムもしくはカリウムアルキルアミノ不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0.0%w/w〜およそ2.0%w/wのリチウム、ナトリウムもしくはカリウムアルコキシ不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ1ppmwの金属不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwの金属不純物を含み;
・金属不純物は、アルミニウム(Al)、砒素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラニウム(U)および亜鉛(Zn)を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのAl不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのAs不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのBa不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのBe不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのBi不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのCd不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのCa不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのCr不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのCo不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのCu不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのGa不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのGe不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのHf不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのZr不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのIn不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのFe不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのPb不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのLi不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのMg不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのMn不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのW不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのNi不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのK不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのNa不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのSr不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのTh不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのSn不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのTi不純物を含み;
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのU不純物を含み;および
・ジルコニウム含有膜形成組成物は、およそ0ppbw〜およそ500ppbwのZn不純物を含む。
また、入口流路および出口流路を有すると共に、上記に開示されているジルコニウム含有膜形成組成物のいずれかを含有するキャニスタを備えるジルコニウム含有膜形成組成物送出デバイスが開示されている。本開示のデバイスは以下の態様の1つまたは複数を含み得る。
・ジルコニウム含有膜形成組成物は、10ppmw未満の金属汚染物の合計濃度を有し;
・入口流路終端部の端部はジルコニウム含有膜形成組成物の表面より上方に位置されており、かつ出口流路の端部はジルコニウム含有膜形成組成物の表面より下方に位置されており;
・入口流路終端部の端部はジルコニウム含有膜形成組成物の表面より下方に位置されており、かつ出口流路の端部はジルコニウム含有膜形成組成物の表面より上方に位置されており;ならびに
・さらに、ダイヤフラムバルブを入口および出口に備える。
また、ジルコニウム含有膜を基板上に堆積させるプロセスが開示されている。上記に開示されているジルコニウム含有膜形成組成物は、基板が中に入れられた反応器に導入される。ゲルマニウムおよびジルコニウム含有前駆体の少なくとも一部が基板上に堆積してジルコニウム含有膜が形成される。本開示のプロセスは、以下の態様の1種または複数種をさらに含み得る。
・反応体を反応器に導入;
・反応体をプラズマ処理;
・反応体を遠隔プラズマ処理;
・反応体をプラズマ処理せず;
・反応体は、H、HCO、N、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカルおよびこれらの混合物からなる群から選択され;
・反応体はHであり;
・反応体はNHであり;
・反応体は、O、O、HO、H、NO、NO、NO、これらの酸素ラジカルおよびこれらの混合物からなる群から選択され;
・反応体は、OΔ一重項酸素、Σ 一重項酸素、Σ 三重項酸素またはこれらの組み合わせであり;
・反応体はHOであり;
・反応体は被プラズマ処理Oであり;
・反応体はOであり;
・反応体はジルコニウム含有前駆体であり;
・ジルコニウム含有前駆体は、ZrCp(NMe、Zr(MeCp)(NMe、Zr(EtCp)(NMe、Zr(iPrCp)(NMe、Zr(tBuCp)(NMe、Zr(Cp)(NMeEt)からなる群から選択され;
・ジルコニウム含有前駆体はZrCp(NMeであり;
・反応器に導入する前にジルコニウム含有膜形成組成物およびジルコニウム含有前駆体を混合して混合物を形成;
・ジルコニウム含有膜形成組成物および反応体を反応器に同時に導入;
・反応器を化学蒸着用に構成;
・反応器をプラズマ促進化学蒸着用に構成;
・ジルコニウム含有膜形成組成物および反応体を順次にチャンバに導入;
・反応器を原子層堆積用に構成;
・反応器をプラズマ促進原子層堆積用に構成;
・反応器を空間原子層堆積用に構成;
・ジルコニウム含有膜は純粋なジルコニウム膜であり;
・純粋なジルコニウム膜は、およそ95原子%〜およそ100原子%のZr濃度を有し;
・ジルコニウム含有膜はジルコニウムシリサイド(ZrSi(式中、kおよびlの各々は1以上6以下の範囲である整数である))であり;
・ジルコニウムシリサイドはZrSiであり;
・ジルコニウム含有膜は酸化ジルコニウム(Zr(式中、mおよびnの各々は1以上6以下の範囲である整数である))であり;
・酸化ジルコニウムはZrOであり;
・ジルコニウム含有膜はゲルマニウム−ドープ酸化ジルコニウム(ZrGe)(式中、oおよびpの各々は0以上1以下の範囲である少数であり、ならびにqは1以上6以下の範囲である整数である)であり;
・ゲルマニウム−ドープ酸化ジルコニウムはZr(0.99〜0.5)Ge(0.5〜0.01)であり;
・ジルコニウム含有膜は窒化ジルコニウム(Zr(式中、uおよびvの各々は1以上6以下の範囲である整数である))であり;ならびに
・窒化ジルコニウムはZrNである。
注記および命名
一定の略語、符号および用語が以下の説明および特許請求の範囲を通して用いられており、以下が含まれる。
本明細書において用いられるところ、不定冠詞「a」または「an」は1つまたは複数を意味する。
本明細書において用いられるところ、「およそ」または「約」または「ca.」(ラテン語「約(circa)」に由来)という用語は、記載されている値の±10%を意味する。
本明細書において用いられるところ、「独立して」という用語は、R基に関する記載の文脈において用いられる場合、対象であるR基は、同一または異なる下付文字または上付文字を有する他のR基に対して独立して選択されるのみならず、同一のR基のいずれかの追加の種に対しても独立して選択されることを示すと理解されるべきである。例えば、式Zr(TMGCp)(NRにおいて、3個のR基は、必ずではないが、相互にまたはRに対して同等であり得る。
本明細書において用いられるところ、「アルキル基」という用語は、排他的に炭素および水素原子を含有する飽和官能基を指す。さらに、「アルキル基」という用語は、直鎖、分岐または環式アルキル基を指す。直鎖アルキル基の例としては、特に限定されないが、メチル基、エチル基、プロピル基、ブチル基等が挙げられる。分岐アルキル基の例としては、特に限定されないが、t−ブチルが挙げられる。環式アルキル基の例としては、特に限定されないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられる。
本明細書において用いられるところ、略記「Me」はメチル基を指し;略記「Et」はエチル基を指し;略記「Pr」はプロピル基を指し;略記「nPr」は「ノルマル」または直鎖プロピル基を指し;略記「iPr」はイソプロピル基を指し;略記「Bu」はブチル基を指し;略記「nBu」は「ノルマル」または直鎖ブチル基を指し;略記「tBu」は1,1−ジメチルエチルとしても知られているt−ブチル基を指し;略記「sBu」は1−メチルプロピルとしても知られているsec−ブチル基を指し;略記「iBu」は2−メチルプロピルとしても知られているイソ−ブチル基を指し;略記「アミル」はアミルまたはペンチル基を指し;略記「tAmyl」は1,1−ジメチルプロピルとしても知られているt−アミル基を指し;略記「Cp」はシクロペンタジエニルを指し;略記「Cp*」はペンタメチルシクロペンタジエニルを指し;略記「op」は(非環式)ペンタジエニルを指し;略記「TMGCp」はリガンド(トリメチルゲルミル)シクロペンタジエニル[MeGeCp]を指し;略記「TMGCpH」は分子(トリメチルゲルミル)シクロペンタジエン[MeGeCpH]を指し;略記DMGCpはリガンド(ジメチルゲルミル)シクロペンタジエニル[MeHGeCp]を指し;略記「TMSCp」はリガンド(トリメチルシリル)シクロペンタジエニル[MeSiCp]を指し;および、略記「TMSCpH」は分子(トリメチルシリル)シクロペンタジエン[MeSiCpH]を指す。
酸化ジルコニウムなどの堆積される膜または層は、その適切な化学量論(すなわちZrO)に言及することなく、明細書および特許請求の範囲全体にわたって列挙されていることに注意されたい。これらの層は、純粋な(Zr)層、カーバイド(Zr)層、窒化物(Zr)層、酸化物(Zr)層、または、これらの混合物(式中、k、l、m、n、oおよびpは1以上6以下の範囲である)を含み得る。例えば、酸化ジルコニウムは、Zr(式中、kおよびlは各々0.5〜5の範囲である)である。より好ましくは、酸化ジルコニウムはZrOである。酸化物層は、異なる二元系または四元系酸化物層の混合物であり得る。例えば、酸化物層は、BaZrO、HfZrO、ZrYO、ZrAlO、ZrErO、ZrLaO、ZrDyO(式中、xは1〜6の範囲である)であり得る。酸化物層は、例えばZrO/Alナノールアミネート(nanolaminates)などの異なる酸化物層のスタックであり得る。言及されている層はいずれも、ケイ素酸化物層、Si(式中、nは0.5〜1.5の範囲であり、かつmは1.5〜3.5の範囲である)をも含み得る。より好ましくは、酸化ケイ素層はSiOまたはSiOである。酸化ケイ素層は、Applied Materials, Inc.製のBlack DiamondIIもしくはIII材料などの有機系または酸化ケイ素系低k誘電体材料などの、酸化ケイ素系誘電体材料であり得る。あるいは、いずれかの参照されているケイ素含有層は純粋なケイ素であり得る。いずれかのケイ素含有層はまた、B、C、P、Asおよび/またはGeなどのドーパントを含んでいてもよい。
元素周期律表に係る元素の標準的な略語が本明細書において用いられている。元素がこれらの略語(例えば、Geはゲルマニウムを指し、Siはケイ素を指し、Cは炭素を指す等)により称され得ることが理解されるべきである。
本発明の性質および目的のさらなる理解のため、以下の発明を実施するための形態を添付の図面と併せて参照すべきである。
図1は、本明細書に開示のジルコニウム含有膜形成組成物送出デバイスの一実施形態の側面図である。 図2は、本明細書に開示のジルコニウム含有膜形成組成物送出デバイスの第2の実施形態の側面図である。 図3は、Zr(TMGCp)(NMeHNMRスペクトルである。 図4は、Zr(TMGCp)(OiPr)HNMRスペクトルである。 図5は、Zr(TMGCp)(NMeの温度上昇に伴う重量損失割合(TGA)または示差温度(DTA)を実証する熱重量分析(TGA)/示差熱分析(DTA)グラフである。 図6は、Zr(TMGCp)(OiPr)の温度上昇に伴う重量損失割合(TGA)または示差温度(DTA)を実証するTGA/DTAグラフである。 図7は、前駆体Zr(TMGCp)(NMeおよびO反応体を用いた場合における、温度に応じたゲルマニウム酸化ケイ素薄膜のALD成長速度のグラフである。 図8は、前駆体Zr(TMGCp)(NMeおよびO反応体を用いた場合における、温度に応じて生成された膜のX線光電子分光(XPS)分析のグラフである。 図9は、325℃で前駆体Zr(TMGCp)(NMeを用いた場合における、1:10のアスペクト比パターンを有するウェハに堆積した膜の走査型電子顕微鏡(SEM)写真である。 図10は、325℃で前駆体Zr(TMGCp)(NMeを用いた場合における、1:40のアスペクト比パターンを有するウェハに堆積した膜のSEM写真である。 図11は、前駆体Zr(TMGCp)(NMeおよびHO反応体を用いた場合における、温度に応じたゲルマニウム酸化ケイ素薄膜のALD成長速度のグラフである。 図12は、前駆体Zr(TMGCp)(NMeおよびHO反応体を用いた場合における、300℃で生成された膜のXPS分析のグラフである。
以下の式を有するゲルマニウムおよびジルコニウム含有前駆体を含むジルコニウム含有膜形成組成物が開示されている。
Figure 0006681398
式中、各R、R、R、R、R、R、R、R、RおよびR10は、H;C1−C5直鎖、分岐もしくは環式アルキル基;または、C1−C5直鎖、分岐もしくは環式フルオロアルキル基から独立して選択される。R、RおよびRは同等であっても異なっていてもよい。R、R、RおよびRは同等であっても異なっていてもよい。各RおよびRは同等であっても異なっていてもよい。各R10は同等であっても異なっていてもよい。
小さなアルキル基ではゲルマニウムおよびジルコニウム含有前駆体の揮発度が高くなると共に融点が低くなり得るため、R、R、R、R、R、RおよびRはHまたはMeであることが好ましい。小さなアルキル基ではゲルマニウムおよびジルコニウム含有前駆体の揮発度が高くなると共に融点が低くなり得るため、RおよびRは、H、MeまたはEtであることが好ましい。小さなアルキル基(Me、Et)ではゲルマニウムおよびジルコニウム含有前駆体の揮発度が高くなり得ると共に、大きなアルキル基(iPr、tBu)ではゲルマニウムおよびジルコニウム含有前駆体の融点が低くなり得るため、R10は、Me、Et、iPrまたはtBuであることが好ましい。
例示的な式Iのゲルマニウムおよびジルコニウム含有前駆体としては、これらに限定されないが、Zr(TMG−Cp)(NMe、Zr(TMG−Cp)(NHMe)3、Zr(TMG−Cp)(NEt、Zr(TMG−Cp)(NHEt)、Zr(TMG−Cp)(NEtMe))、Zr(TMG−Cp)(NnPr、Zr(TMG−Cp)(NHnPr)、Zr(TMG−Cp)(NiPr3、Zr(TMG−Cp)(NHiPr)、Zr(TMG−Cp)(NnBu)、Zr(TMG−Cp)(NHnBu)、Zr(TMG−Cp)(NiBu、Zr(TMG−Cp)(NHiBu)、Zr(TMG−Cp)(NsBu、Zr(TMSG−Cp)(NHsBu)、Zr(TMG−Cp)(NtBu、Zr(TMG−Cp)(NHtBu)、Zr(DMG−Cp)(NMe、Zr(DMG−Cp)(NHMe)、Zr(DMG−Cp)(NEt、Zr(DMG−Cp)(NHEt)、Zr(DMG−Cp)(NEtMe)、Zr(DMG−Cp)(NnPr、Zr(DMG−Cp)(NHnPr)、Zr(DMG−Cp)(NiPr、Zr(DMG−Cp)(NHiPr)、Zr(DMG−Cp)(NnBu、Zr(DMG−Cp)(NHnBu)、Zr(DMG−Cp)(NiBu、Zr(DMG−Cp)(NHiBu)、Zr(DMG−Cp)(NsBu、Zr(DMG−Cp)(NHsBu)、Zr(DMG−Cp)(NtBu、Zr(DMG−Cp)(NHtBu)、Zr(FGe−Cp)(NMe、Zr(FGe−Cp)(NHMe)、Zr(FGe−Cp)(NEt、Zr(FGe−Cp)(NHEt)、Zr(FGe−Cp)(NEtMe)、Zr(FGe−Cp)(NnPr、Zr(FGe−Cp)(NHnPr)、Zr(FGe−Cp)(NiPr、Zr(FGe−Cp)(NHiPr)、Zr(FGe−Cp)(NnBu、Zr(FGe−Cp)(NHnBu)、Zr(FGe−Cp)(NiBu、Zr(FGe−Cp)(NHiBu)、Zr(FGe−Cp)(NsBu、Zr(FGe−Cp)(NHsBu)、Zr(FGe−Cp)(NtBu、Zr(FGe−Cp)(NHtBu)、Zr(FHGe−Cp)(NMe、Zr(FHGe−Cp)(NHMe)、Zr(FHGe−Cp)(NEt、Zr(FHGe−Cp)(NHEt)、Zr(FHGe−Cp)(NEtMe)、Zr(FHGe−Cp)(NnPr、Zr(FHGe−Cp)(NHnPr)、Zr(FHGe−Cp)(NiPr、Zr(FHGe−Cp)(NHiPr)、Zr(FHGe−Cp)(NnBu、Zr(FHGe−Cp)(NHnBu)、Zr(FHGe−Cp)(NiBu、Zr(FHGe−Cp)(NHiBu)、Zr(FHGe−Cp)(NsBu、Zr(FHGe−Cp)(NHsBu)、Zr(FHGe−Cp)(NtBu、Zr(FHGe−Cp)(NHtBu)、Zr(FHGe−Cp)(NMe、Zr(FHGe−Cp)(NHMe)、Zr(FHGe−Cp)(NEt、Zr(FHGe−Cp)(NHEt)、Zr(FHGe−Cp)(NEtMe)、Zr(FHGe−Cp)(NnPr、Zr(FHGe−Cp)(NHnPr)、Zr(FHGe−Cp)(NiPr、Zr(FHGe−Cp)(NHiPr)、Zr(FHGe−Cp)(NnBu、Zr(FHGe−Cp)(NHnBu)、Zr(FHGe−Cp)(NiBu、Zr(FHGe−Cp)(NHiBu)、Zr(FHGe−Cp)(NsBu、Zr(FHGe−Cp)(NHsBu)、Zr(FHGe−Cp)(NtBu、Zr(FHGe−Cp)(NHtBu)、Zr(FMeGe−Cp)(NMe、Zr(FMeGe−Cp)(NHMe)、Zr(FMeGe−Cp)(NEt、Zr(FMeGe−Cp)(NHEt)、Zr(FMeGe−Cp)(NEtMe)、Zr(FMeGe−Cp)(NnPr、Zr(FMeGe−Cp)(NHnPr)、Zr(FMeGe−Cp)(NiPr、Zr(FMeGe−Cp)(NHiPr)、Zr(FMeGe−Cp)(NnBu、Zr(FMeGe−Cp)(NHnBu)、Zr(FMeGe−Cp)(NiBu、Zr(FMeGe−Cp)(NHiBu)、Zr(FMeGe−Cp)(NsBu、Zr(FMeGe−Cp)(NHsBu)、Zr(FMeGe−Cp)(NtBu、Zr(FMeGe−Cp)(NHtBu)、Zr((CFGe−Cp)(NMe、Zr((CFGe−Cp)(NHMe)、Zr((CFGe−Cp)(NEt、Zr((CFGe−Cp)(NHEt)、Zr((CFGe−Cp)(NEtMe)、Zr((CFGe−Cp)(NnPr、Zr((CFGe−Cp)(NHnPr)、Zr((CFGe−Cp)(NiPr、Zr((CFGe−Cp)(NHiPr)、Zr((CFGe−Cp)(NnBu、Zr((CFGe−Cp)(NHnBu)、Zr((CFGe−Cp)(NiBu、Zr((CFGe−Cp)(NHiBu)、Zr((CFGe−Cp)(NsBu、Zr((CFGe−Cp)(NHsBu)、Zr((CFGe−Cp)(NtBu、Zr((CFGe−Cp)(NHtBu)、Zr((CFHGe−Cp)(NMe)、Zr((CFHGe−Cp)(NHMe)、Zr((CFHGe−Cp)(NEt、Zr((CFHGe−Cp)(NHEt)、Zr((CFHGe−Cp)(NEtMe)、Zr((CFHGe−Cp)(NnPr、Zr((CFHGe−Cp)(NHnPr)、Zr((CFHGe−Cp)(NiPr、Zr((CFHGe−Cp)(NHiPr)、Zr((CFHGe−Cp)(NnBu、Zr((CFHGe−Cp)(NHnBu)、Zr((CFHGe−Cp)(NiBu、Zr((CFHGe−Cp)(NHiBu)、Zr((CFHGe−Cp)(NsBu、Zr((CFHGe−Cp)(NHsBu)、Zr((CFHGe−Cp)(NtBu、Zr((CFHGe−Cp)(NHtBu)、Zr((CF)MeGe−Cp)(NMe、Zr((CF)MeGe−Cp)(NHMe)、Zr((CF)MeGe−Cp)(NEt、Zr((CF)MeGe−Cp)(NHEt)、Zr((CF)MeGe−Cp)(NEtMe)、Zr((CF)MeGe−Cp)(NnPr、Zr((CF)MeGe−Cp)(NHnPr)、Zr((CF)MeGe−Cp)(NiPr
、Zr((CF)MeGe−Cp)(NHiPr)、Zr((CF)MeGe−Cp)(NnBu、Zr((CF)MeGe−Cp)(NHnBu)、Zr((CF)MeGe−Cp)(NiBu、Zr((CF)MeGe−Cp)(NHiBu)、Zr((CF)MeGe−Cp)(NsBu、Zr((CF)MeGe−Cp)(NHsBu)、Zr((CF)MeGe−Cp)(NtBu、またはZr((CF)MeGe−Cp)(NHtBu)が挙げられる。
例示的な式IIのゲルマニウムおよびジルコニウム含有前駆体としては、これらに限定されないが、Zr(TMG−Cp)(OMe)、Zr(TMG−Cp)(OEt)、Zr(TMG−Cp)(OnPr)、Zr(TMG−Cp)(OiPr)、Zr(TMG−Cp)(OtBu)、Zr(TMG−Cp)(OsBu)、Zr(TMG−Cp)(OnBu)、Zr(TMG−Cp)(OiBu)、Zr(DMG−Cp)(OMe)、Zr(DMG−Cp)(OEt)、Zr(DMG−Cp)(OnPr)、Zr(DMG−Cp)(OiPr)、Zr(DMG−Cp)(OtBu)、Zr(DMG−Cp)(OsBu)、Zr(DMG−Cp)(OnBu)、Zr(DMG−Cp)(OiBu)、Zr(FGe−Cp)(OMe)、Zr(FGe−Cp)(OEt)、Zr(FGe−Cp)(OnPr)、Zr(FGe−Cp)(OiPr)、Zr(FGe−Cp)(OtBu)、Zr(FGe−Cp)(OsBu)、Zr(FGe−Cp)(OnBu)、Zr(FGe−Cp)(OiBu)、Zr(FHGe−Cp)(OMe)、Zr(FHGe−Cp)(OEt)、Zr(FHGe−Cp)(OnPr)、Zr(FHGe−Cp)(OiPr)、Zr(FHGe−Cp)(OtBu)、Zr(FHGe−Cp)(OsBu)、Zr(FHGe−Cp)(OnBu)、Zr(FHGe−Cp)(OiBu)、Zr(FHGe−Cp)(OMe)、Zr(FHGe−Cp)(OEt)、Zr(FHGe−Cp)(OnPr)、Zr(FHGe−Cp)(OiPr)、Zr(FHGe−Cp)(OtBu)、Zr(FHGe−Cp)(OsBu)、Zr(FHGe−Cp)(OnBu)、Zr(FHGe−Cp)(OiBu)、Zr(FMeGe−Cp)(OMe)、Zr(FMeGe−Cp)(OEt)、Zr(FMeGe−Cp)(OnPr)、Zr(FMeGe−Cp)(OiPr)、Zr(FMeGe−Cp)(OtBu)、Zr(FMeGe−Cp)(OsBu)、Zr(FMeGe−Cp)(OnBu)、Zr(FMeGe−Cp)(OiBu)、Zr((CFGe−Cp)(OMe)、Zr((CFGe−Cp)(OEt)、Zr((CFGe−Cp)(OnPr)、Zr((CFGe−Cp)(OiPr)、Zr((CFGe−Cp)(OtBu)、Zr((CFGe−Cp)(OsBu)、Zr((CFGe−Cp)(OnBu)、Zr((CFGe−Cp)(OiBu)、Zr((CFHGe−Cp)(OMe)、Zr((CFHGe−Cp)(OEt)、Zr((CFHGe−Cp)(OnPr)、Zr((CFHGe−Cp)(OiPr)、Zr((CFHGe−Cp)(OtBu)、Zr((CFHGe−Cp)(OsBu)、Zr((CFHGe−Cp)(OnBu)、Zr((CFHGe−Cp)(OiBu)、Zr((CF)MeGe−Cp)(OMe)、Zr((CF)MeGe−Cp)(OEt)、Zr((CF)MeGe−Cp)(OnPr)、Zr((CF)MeGe−Cp)(OiPr)、Zr((CF)MeGe−Cp)(OtBu)、Zr((CF)MeGe−Cp)(OsBu)、Zr((CF)MeGe−Cp)(OnBu)またはZr((CF)MeGe−Cp)(OiBu)が挙げられる。
好ましくは、ゲルマニウムおよびジルコニウム含有前駆体は、大気熱重量分析における気化の結果、最終的に残る残渣が少量であることから、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)である(実施例1を参照のこと)。残渣の量が少ないことを実証する、実施例2における(トリメチルゲルミル)シクロペンタジエニルトリ(イソプロポキシ)ジルコニウム(IV)に係るTGAの結果もまた蒸着への適用に有望である。
本開示のジルコニウム含有膜形成組成物は、対応するテトラキス(アミノ)ジルコニウム(IV)または対応するテトラキス(アルコキシ)ジルコニウム(IV)と、対応する(ゲルミル)シクロペンタジエンとを、トルエン、THFまたはエーテルなどの好適な溶剤中で反応させることにより合成され得る。(ゲルミル)シクロペンタジエンは、典型的には、Organometallics 1990,9,2488−2492に記載の手法に従って調製される。あるいは、本開示の(ゲルミル)シクロペンタジエニル−トリス(アルコキシ)ジルコニウム含有化合物は、トルエン、THFまたはエーテルなどの好適な溶剤中における、3当量の対応するアルコールによる、対応する(ゲルミル)シクロペンタジエニル−トリス(アミノ)ジルコニウム含有化合物のアルコーリシスにより合成され得る。しかしながら、本合成プロセスにおいてアルコールが過剰量である場合には、Zr(NRまたはZr(OR)などの未反応の反応体、およびシクロペンタジエニルトリス(アルコキシ)ジルコニウムまたはシクロペンタジエニルトリス(アミノ)ジルコニウムといった無置換のシクロペンタジエニル反応生成物などの不要な反応副生成物がもたらされてしまう場合がある。さらなる詳細を含む例示的な合成方法が以下の実施例において提供されている。
本開示のジルコニウム含有膜形成組成物の純度は、およそ95%w/w〜およそ100%w/wの範囲、好ましくは98%w/w超、より好ましくは99%w/w超である。純度は、H NMRまたはガスもしくは液体クロマトグラフィーにより質量分光測定で測定され得ることを当業者は認識するであろう。本開示のジルコニウム含有膜形成組成物は、以下の不純物のいずれかを含有し得る:ZrCp(OR10;Zr(OR10;ZrCp(NR(ここで、各Rは独立して、H、C1−C5直鎖、分岐もしくは環式アルキル基、または、C1−C5直鎖、分岐もしくは環式フルオロアルキル基である);Zr(NR(ここで、各Rは独立して、H、C1−C5直鎖、分岐もしくは環式アルキル基、または、C1−C5直鎖、分岐もしくは環式フルオロアルキル基である);イソプロピルアルコールまたはt−ブチルアルコールなどのアルコール;シクロペンタジエン;(ゲルミル)シクロペンタジエン;ジシクロペンタジエン;アルキルゲルマン;t−ブチルアミンなどのアルキルアミン;ジメチルアミンなどのジアルキルアミン;アルキルイミン;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;塩化金属化合物;リチウム、ナトリウムもしくはカリウムアルコキシ;リチウム、ナトリウムもしくはカリウムアルキルアミノ;または、リチウム、ナトリウムもしくはカリウムシクロペンタジエニル。これらの不純物の総量は、およそ0%w/w〜およそ5%w/wの範囲、好ましくは2%w/w未満、より好ましくは1%w/w未満である。組成物は、再結晶化、昇華、蒸留、および/または、4A分子ふるいなどの好適な吸着媒にガスもしくは液体を通過させることにより精製し得る。
本開示のジルコニウム含有膜形成組成物の精製はまた、0ppbw(十億分率重量)〜1ppmw(百万分率重量)レベル、好ましくは0〜500ppbwレベルで金属不純物をもたらし得る。これらの金属不純物としては、これらに限定されないが、アルミニウム(Al)、砒素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラニウム(U)、バナジウム(V)および亜鉛(Zn)が挙げられる。
ジルコニウム含有膜形成組成物は、本開示のジルコニウム含有膜形成組成物送出デバイスによって半導体処理ツールに送り出され得る。図1および図2は、本開示の送出デバイス1の2種の非限定的な実施形態を示す。
図1はジルコニウム含有膜形成組成物送出デバイス1の一実施形態の側面図である。図1において、本開示のジルコニウム含有膜形成組成物10は、入口流路30および出口流路40の2つの流路を有する容器20中に含まれている。前駆体の技術分野における当業者は、容器20、入口流路30および出口流路40は、高温および高圧下でのガス形態のジルコニウム含有膜形成組成物10の漏出が防止されるよう製造されていることを認識するであろう。
送出デバイス1は、密閉されていると共に、材料のわずかな量の漏れも許容しないバルブ35/45を備えている必要がある。好適なバルブ35/45としては、スプリングロード型または手動式(tied)ダイヤフラムバルブが挙げられる。バルブ35/45は、流量制限オリフィス(RFO)をさらに備えていてもよい。送出デバイス1は、ガスマニホルド(図示せず)に接続されていてもよく、また、筐体(図示せず)中にあってもよい。ガスマニホルドは、残存するZr含有膜形成組成物が反応しないように、送出デバイス1を交換する際に空気に露出され得る配管における安全な排気およびパージが可能であるべきである。筐体は、材料の放出または反応が生じた場合における火災を防ぐためにセンサーおよび防火能を備えていてもよい。ガスマニホルドはまた、遮断弁、真空発生装置を備えていてもよく、少なくともパージガスの導入が可能であってもよい。
送出デバイス1は、上記に開示されているガスキャビネットなどの半導体処理ツールの他の構成要素にバルブ35および45を介して流体が流過可能に接続されている。容器20、入口流路30、バルブ35、出口流路40およびバルブ45は316L EPまたは304ステンレス鋼製であることが好ましい。しかしながら、他の非反応性材料もまた本明細書における教示において使用し得ること、およびいずれかの腐食性のジルコニウム含有膜形成組成物10では、HastelloyまたはInconelなどのより耐食性の材料を使用することが要求され得ることを当業者は認識するであろう。
図1において、入口流路30の端部31はジルコニウム含有膜形成組成物10の表面より上方に位置されており、一方で、出口流路40の端部41はジルコニウム含有膜形成組成物10の表面より下方に位置されている。本実施形態において、ジルコニウム含有膜形成組成物10は液体形態であることが好ましい。特にこれらに限定されないが、窒素、アルゴン、ヘリウムおよびこれらの混合物を含む不活性ガスを入口流路30に導入し得る。不活性ガスによって、液体ジルコニウム含有膜形成組成物10が出口流路40を通じて半導体処理ツール(図示せず)における構成要素に強制的に移動するよう、送出デバイス20が加圧される。半導体処理ツールは、修復されるべきウェハが配置され、気相中で処理が行われるチャンバに蒸気を送出するために、ヘリウム、アルゴン、窒素もしくはこれらの混合物などのキャリアガスの使用を伴ってまたは伴わずに、液体ジルコニウム含有膜形成組成物10を蒸気に気化させる気化器を備えていてもよい。あるいは、液体ジルコニウム含有膜形成組成物10は、ジェットまたはエアロゾルとしてウェハの表面に直接送出されてもよい。
図2は、ジルコニウム含有膜形成組成物送出デバイス1の第2の実施形態の側面図である。図2において、入口流路30の端部31はジルコニウム含有膜形成組成物10の表面より下方に位置されており、一方で出口流路40の端部41はジルコニウム含有膜形成組成物10の表面よりも上方に位置されている。また、図2は、ジルコニウム含有膜形成組成物10の温度を上昇させ得る任意選択の加熱素子25を含む。本実施形態において、ジルコニウム含有膜形成組成物10は固体または液体形態であり得る。特にこれらに限定されないが、窒素、アルゴン、ヘリウムおよびこれらの混合物を含む不活性ガスが入口流路30に導入される。不活性ガスはジルコニウム含有膜形成組成物10に通気され、不活性ガスと気化されたジルコニウム含有膜形成組成物10との混合物を出口流路40、半導体処理ツールにおける構成要素に運ぶ。
図1および図2は共にバルブ35および45を含む。バルブ35および45は、それぞれ流路30および40を通る流れを許容する開または閉位置に位置され得ることを当業者は認識するであろう。ジルコニウム含有膜形成組成物10が蒸気形態である場合、または、固体/液体相の上方に十分な蒸気圧が存在する場合には、図1もしくは図2中の送出デバイス1のいずれか、または、存在するいずれかの固体もしくは液体の表面の上方に終端を有する単一の流路を備えるよりシンプルな送出デバイスを使用し得る。この場合、ジルコニウム含有膜形成組成物10は、単にバルブ45を開けることにより蒸気形態で流路40を介して送出される。送出デバイス1は、例えば任意選択の加熱素子25を使用することにより、ジルコニウム含有膜形成組成物10を蒸気形態で送出するための十分な蒸気圧を達成する好適な温度で維持されてもよい。
図1および図2においてジルコニウム含有膜形成組成物送出デバイス1に係る2種の実施形態が開示されているが、本明細書における開示を逸脱することなく、入口流路30および出口流路40は共にジルコニウム含有膜形成組成物10の表面11の上方または下方に位置していてもよいことを当業者は認識するであろう。さらに、入口流路30は充填ポートであってもよい。最後に、本明細書における教示を逸脱することなく、本開示のジルコニウム含有膜形成組成物は、国際公開第2006/059187号パンフレット(Jurcik et al.)に開示されているアンプルなどの他の送出デバイスを用いて半導体処理ツールに送出されてもよいことを当業者は認識するであろう。
また、蒸着プロセスを用いて基板上にジルコニウム含有層を形成する方法が開示されている。この方法は、半導体、光起電力、LCD−TFTまたはフラットパネル型デバイスの製造に有用であり得る。本開示のジルコニウム含有膜形成組成物は、当業者に公知である任意の堆積方法を用いてジルコニウム含有薄膜を堆積するために用いられ得る。
好適な堆積方法の例としては、特に限定されないが、化学蒸着(CVD)または原子層堆積(ALD)が挙げられる。例示的なCVD法としては、熱CVD、プラズマ促進CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、準常圧CVD(SACVD)、大気圧CVD(APCVD)、熱線CVD(HWCVD、熱線が堆積プロセスのためのエネルギー源とされる触媒CVDとしても知られている)、ラジカル取り込み型CVD(radicals incorporated CVD)およびこれらの組み合わせが挙げられる。例示的なALD方法としては、熱ALD、プラズマ促進ALD(PEALD)、空間分離ALD、熱線ALD(HWALD)、ラジカル取り込み型ALD(radicals incorporated ALD)およびこれらの組み合わせが挙げられる。超臨界流体堆積法もまた用いられ得る。堆積法は、好適なステップカバレッジおよび膜厚制御を達成するためにALD、PE−ALDまたは空間ALDであることが好ましい。
ZrOの立方晶/正方結晶相が、異なるZrO結晶形態(立方晶、正方結晶、アモルファス、単斜晶、斜方晶、およびこれらの組み合わせが利用可能な結晶相である)のうち誘電率がもっとも高いものである。Geなどのイオン半径が小さい4価のドーパントのドープレベル(3〜12%)が、正方晶ジルコニア相の安定化に最も効率的であると実験的に報告されている。正方晶ZrO構造においてZr原子がGeによって置き換えることで、Ge−O結合が、GeOにおけるものと同様の長さに短くなる。従って、ZrOは、酸化物の「親和的」な局所的環境に容易に組み込まれるGeに対する優れたホストである(J.Appl.Phys.106,024107,2009)。Geは4価であり、従って、O空格子点を導入することなく格子中のZrを置き換えることが利点である。実施例4において示されている予備的なR&Dテスト結果では、Zr(MeGeCp)(NMeを用いた堆積で不純物による汚染をほとんど伴うことなく14原子%のGeがもたらされていることが示されており、これは、酸化ジルコニウム膜の所望の結晶相を堆積可能とするためにこれらの前駆体が有望であることを実証するものである。
本出願人らは、Zr単独またはZrおよびGeの両方をジルコニウム含有層において堆積させ得るよう、蒸着プロセス条件を制御し得ると考えている。例えば、いくらかの寄生CVDが示されるようなALDパラメータの調節は、有限量のGeをZrO層に堆積させるのに有用であり得る。あるいは、ZrO膜中のゲルマニウム含有量は、本開示のジルコニウム含有膜形成組成物を用いる(Zr、Ge)O膜の堆積および他のジルコニウム含有前駆体を用いるZrOの堆積を変更することによって制御し得る。例えば、ZrCp(NMe、Zr(MeCp)(NMeまたはZr(EtCp)(NMeは、ZrO膜を得るためのZr含有前駆体とされ得る。換言すると、ジルコニウム含有膜形成組成物を用いるxサブサイクルの(Zr、Ge)O堆積と、ZrCp(NMeなどのジルコニウム含有前駆体と用いるyサブサイクルのZrO堆積とを交互に行い得る。xサブサイクルの(Zr、Ge)OとyサブサイクルのZrOとから構成されるスーパーサイクルを繰り返して所望の厚さの(Zr、Ge)O膜を達成し得、ここで、xおよびyは1以上20以下の範囲である整数である。ZrおよびGe含有量は、xおよびyを調節することにより制御し得る。
あるいは、ZrO膜中のゲルマニウム含有量は、本開示のジルコニウム含有膜形成組成物およびジルコニウム含有前駆体の両方を含有する混合物を用いて(Zr、Ge)O膜を堆積させることにより制御し得る。例えば、ZrCp(NMe、Zr(MeCp)(NMe、Zr(EtCp)(NMe、Zr(iPrCp)(NMeまたはZr(tBuCp)(NMeはZr含有前駆体とされ得る。ZrおよびGe含有量は、混合物中のジルコニウム含有膜形成組成物とジルコニウム含有前駆体との比率を調節することにより制御し得る。
本開示のジルコニウム含有膜形成組成物は、そのままの形態で、または、エチルベンゼン、キシレン、メシチレン、デカンもしくはドデカンなどの好適な溶剤とのブレンドで供給され得る。本開示の組成物は溶剤中に様々な濃度で存在し得る。
そのままもしくはブレンドしたジルコニウム含有膜形成組成物は、チューブおよび/またはフローメータなどの従来の手段により蒸気形態で反応器に導入される。蒸気形態の組成物は、そのまま、もしくは、ブレンドした組成物を直接気化、蒸留、ダイレクトリキッドインジェクションなどの従来の気化ステップを介して気化することにより、通気により、または、国際公開第2009/087609号パンフレット(Xu et al.)に開示されているものなどの昇華器を用いることにより生成し得る。そのままもしくはブレンドした組成物を液体状態で気化器に供給してもよく、その中で、反応器に導入される前に気化される。あるいは、そのままもしくはブレンドした組成物は、キャリアガスを組成物を含有する容器中に通すことにより、または、キャリアガスを組成物中に通気させることにより気化してもよい。キャリアガスとしては、特に限定されないが、Ar、He、N、およびこれらの混合物が挙げられ得る。キャリアガスを通気させることで、そのままもしくはブレンドした組成物中に存在する溶解酸素も除去し得る。次いで、キャリアガスおよび組成物が反応器に蒸気として導入される。
必要に応じて、開示の組成物の容器を、組成物が液体相であるが十分な蒸気圧を有することとなる温度に加熱してもよい。この容器は、例えば、およそ0℃〜およそ150℃の範囲内の温度で維持されればよい。当業者は、容器の温度を公知の様式で調節して気化される組成物の量を制御し得ることを認識している。
反応器は、特に限定されないが、パラレルプレートタイプ反応器、コールドウォールタイプ反応器、ホットウォールタイプ反応器、シングル−ウェハ反応器、マルチ−ウェハ反応器(すなわち、バッチ反応器)、または、前駆体を反応させて層を形成するのに好適な条件下における他のタイプの堆積システムなどの堆積法が中で行われる任意の筐体またはデバイス中のチャンバであり得る。
一般に、反応器は、薄膜が上に堆積されることとなる基板を含有する。基板は一般に、プロセスが実施される材料と定義される。基板は、半導体、光起電力、フラットパネルまたはLCD−TFTデバイスの製造において用いられる任意の好適な基板であり得る。好適な基板の例としては、ケイ素、シリカ、ガラスまたはGaAsウェハなどのウェハが挙げられる。ウェハは、以前の製造ステップで堆積された異なる材料の1つまたは複数の層を有していてもよい。例えば、ウェハは、ケイ素層(結晶性、アモルファス、多孔性等)、酸化ケイ素層、窒化ケイ素層、ケイ素酸化窒化物層、炭素ドープ酸化ケイ素(SiCOH)層、または、これらの組み合わせを含んでいてもよい。追加して、これらのウェハは、銅層、タングステン層または貴金属層(例えば、白金、パラジウムロジウムまたは金)を含んでいてもよい。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層もまた用いられ得る。これらの層は平坦であってもパターン化されていてもよい。
本開示のプロセスでは、ウェハ上に直接、または、ウェハの最上部における1つもしくは2つ以上の層(基板からのパターン化層である場合)上に直接Zr含有層を堆積し得る。基板は、パターン化されてアスペクト比が高いビアまたはトレンチを含んでいてもよい。例えば、およそ20:1〜およそ100:1の範囲のアスペクト比を有する貫通ケイ素ビア(TSV)に、ZrOなどのコンフォーマルなZr含有膜を任意のALD技術を用いて堆積し得る。さらに、本明細書において用いられている「膜」または「層」という用語は、表面上に積層されているか分布されているいくらかの材料の厚みを指し、この表面はトレンチまたはラインであり得ることを当業者は認識するであろう。明細書および特許請求の範囲の全体を通して、ウェハおよびその上のいずれかの付随する層は基板と称される。例えば、ZrO膜をスズ基板上に堆積し得る。その後の処理において、スズ層をZrO層上に堆積して、DRAMコンデンサとして用いられるスズ/ZrO/スズスタックを形成し得る。
反応器中の温度および圧力は蒸着に好適な条件で保持される。換言すると、気化された組成物をチャンバ中に導入した後は、チャンバ中の条件は、前駆体の少なくとも一部が基板上に堆積してジルコニウム含有膜を形成するようなものとされる。例えば、反応器中の圧力は、堆積パラメータに係る要求に応じて、約1Pa〜約10Pa、より好ましくは約25Pa〜約10Paで保持され得る。同様に、反応器中の温度は、約100℃〜約500℃、好ましくは約150℃〜約400℃に保持され得る。「前駆体の少なくとも一部を堆積する」とは、本開示のZr含有膜形成組成物中の前駆体のいくらかまたはすべてが、単独でまたは反応体による補助を伴って、基板と反応するかまたは基板に付着することを意味することを当業者は認識するであろう。
反応器の温度は、基板ホルダの温度を制御することにより、または、反応器の壁の温度を制御することにより制御し得る。基板の加熱に用いられるデバイスは技術分野において公知である。反応器の壁は、所望の膜を、十分な成長速度、ならびに所望の物理的状態および組成で得るために十分な温度に加熱される。反応器の壁を加熱し得る非限定的で例示的な温度範囲はおよそ100℃〜およそ500℃を含む。プラズマ堆積プロセスを利用する場合、堆積温度はおよそ150℃〜およそ400℃の範囲であり得る。あるいは、熱プロセスを行う場合、堆積温度はおよそ200℃〜およそ500℃の範囲であり得る。
本開示のZr含有膜形成組成物に追加して、反応体もまた反応器に導入され得る。反応体は、O、O、HO、H、NO、NO、NOのうちの1つなどの酸化性ガス、O・またはOH・などの酸素含有ラジカル、NO、NO、カルボン酸、ギ酸、酢酸、プロピオン酸およびこれらの混合物であり得る。Oは、Δ一重項酸素、Σ 一重項酸素、従来のΣ 三重項酸素、または、これらの組み合わせを含み得る。より高エネルギー状態のOは、伊藤らによって特開2012/087025号公報に開示されているものなどの電気放電、光増感剤の照射を用いて、または、Velasquez−Garcia et al.(J Microelectromechanical Systems Vol.16,No.6,December 2007)によって開示されているものなどのMEMSチップにより生成され得る。本出願人らは、一重項酸素と三重項酸素および/またはオゾンとの混合物が、高エネルギー状態のOの寿命が短いために下位の基板に損傷を与えることがなく、好適な反応性をもたらし得ると考えている。あるいは、酸化性ガスは、O、O、HO、H、O・またはOH・などのその酸素含有ラジカル、およびこれらの混合物からなる群から選択され得る。
あるいは、反応体は、H、HCO、NH、SiH、Si、Si、(CHSiH、(CSiH、(CH)SiH、(C)SiH、フェニルシラン、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、N含有分子、B、9−ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、およびこれらの混合物のうちの1つなどの還元ガスであり得る。好ましくは、還元ガスは、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカル、または、これらの混合物のうちの1つである。
反応体は、反応体をそのラジカル形態に分解するためにプラズマで処理し得る。Nもまた、プラズマで処理した場合に還元ガスとして利用し得る。例えば、プラズマは、約50W〜約500W、好ましくは約100W〜約400Wの範囲の電力で発生し得る。プラズマは、反応器自体の中で発生しても、その中に存在していてもよい。あるいは、プラズマは、一般に、反応器から離れた場所、例えば、離して位置されたプラズマシステムにおいて発生され得る。このようなプラズマ処理に好適な方法および装置を当業者は認識するであろう。
例えば反応体は、反応チャンバ内でプラズマを発生するダイレクトプラズマ反応器に導入されて、反応チャンバ中において被プラズマ処理反応体をもたらしてもよい。例示的なダイレクトプラズマ反応器としては、Trion Technologiesにより生産されるTitan(商標)PECVD Systemが挙げられる。反応体はプラズマ処理に先行して反応チャンバ内に導入され保持され得る。あるいは、プラズマ処理は反応体の導入と同時に行っても良い。in−situプラズマは典型的には、シャワーヘッドと基板ホルダとの間に発生される13.56MHz RF融合結合プラズマである。基板またはシャワーヘッドは、陽イオン衝撃が生じるかどうかに応じて電源に接続された電極であり得る。in−situプラズマ発生器において典型的に印加される電力はおよそ30W〜およそ1000Wである。好ましくは、およそ30W〜およそ600Wの電力が本開示の方法において用いられる。より好ましくは、電力は、およそ100W〜およそ500Wの範囲である。in−situプラズマを用いる反応体の電離は、典型的には、同一の電力入力に係る遠隔プラズマ源を用いて達成されるものよりも少なく、従って、反応体電離においては、プラズマによって容易に損傷を受ける基板上へのジルコニウム含有膜の堆積に有益であり得る遠隔プラズマシステムほど効率的ではない。
あるいは、被プラズマ処理反応体は反応チャンバの外では生成されてもよい。MKS Instruments製のASTRONi(登録商標)反応性ガス発生器を用いて反応チャンバに流す前に反応体を処理してもよい。2.45GHz、7kWプラズマ電力、およびおよそ0.5Torr〜およそ10Torrの範囲の圧力で操作することで、反応体Oを2つのO・ラジカルに分解し得る。好ましくは、遠隔プラズマを約1kW〜約10kW、より好ましくは約2.5kW〜約7.5kWの範囲の電力で発生し得る。
チャンバ中の蒸着条件によって、本開示の前駆体と反応体とを反応させて、基板上にジルコニウム含有膜を形成させる。いくつかの実施形態において、本出願人らは、反応体をプラズマ処理することで、本開示の前駆体との反応に必要とされるエネルギーを有する反応体が得られ得ると考えている。
どのようなタイプの膜の堆積が所望されるかに応じて、追加の前駆体化合物を反応器に導入しても良い。追加の前駆体を用いることで同一の(すなわち、Zr)または追加の元素をジルコニウム含有膜に提供し得る。追加の元素としては、ハフニウム、ニオビウム、タンタル、ランタノイド(イッテルビウム、エルビウム、ジスプロシウム、ガドリニウム、プラセオジム、セリウム、ランタン、イットリウム)、ゲルマニウム、ケイ素、チタン、マンガン、コバルト、ニッケル、ルテニウム、ビスマス、鉛、マグネシウム、アルミニウム、または、これらの混合物が挙げられ得る。追加の前駆体化合物が利用される場合、基板上に堆積されて得られる膜は、ジルコニウムを少なくとも1種の追加の元素との組み合わせで含有していてもよい。
ジルコニウム含有膜形成組成物および反応体は、同時に(化学蒸着)、順次に(原子層堆積)、または、異なるこれらの組み合わせで反応器に導入され得る。反応器は、組成物の導入と、反応体の導入との間に不活性ガスでパージし得る。あるいは、反応体および組成物を一緒に混合して、反応体/組成物混合物を形成し、次いで、混合物形態で反応器に導入してもよい。他の例は、反応体を連続的に導入し、およびジルコニウム含有膜形成組成物をパルスで導入するものである(パルス化学蒸着)。
気化した組成物および反応体は、順次または同時にパルス(例えばパルスCVD)で反応器に導入され得る。組成物の各パルスは、約0.01秒間〜約10秒間、あるいは約0.3秒間〜約3秒間、あるいは約0.5秒間〜約2秒間範囲の時間継続され得る。他の実施形態においては、反応体もまた、反応器にパルスで導入され得る。このような実施形態において、各ガスのパルスは、約0.01秒間〜約10秒間、あるいは約0.3秒間〜約3秒間、あるいは約0.5秒間〜約2秒間の範囲の時間継続され得る。他の代替例においては、下方で複数枚のウェハを保持するサセプタが回転しているシャワーヘッドから、気化した組成物および1種または複数種の反応体を同時に噴霧してもよい(空間ALD)。
特定のプロセスパラメータに応じて、堆積は様々な長さの時間をかけて行われ得る。一般に、堆積は、必要な特性を有する膜を形成するために所望されるか、または、必要とされる長さの時間で継続が許容され得る。典型的な膜厚は、特定の堆積プロセスに応じて数オングストローム〜数百ミクロンで様々であり得る。堆積プロセスはまた、所望される膜厚を達成するために必要とされる多くの回数で行われ得る。
第1の非限定的で例示的なCVDタイププロセスにおいて、本開示のジルコニウム含有膜形成組成物の気相および反応体は、同時に反応器に導入される。これら2つが反応して、得られるジルコニウム含有薄膜が形成される。この例示的なCVDプロセスにおける反応体がプラズマで処理される場合、例示的なCVDプロセスは例示的なPECVDプロセスとなる。反応体は、チャンバへ導入する前またはその後にプラズマで処理し得る。
第1の非限定的で例示的なALDタイププロセスにおいては、本開示のジルコニウム含有膜形成組成物の気相を反応器に導入し、その中で、好適な基板と接触させる。次いで、反応器をパージおよび/または排気することにより、過剰量の組成物を反応器から除去してもよい。反応体(例えば、H)を反応器に導入し、その中で、物理−または化学−吸着前駆体と自己制御式に反応させる。過剰量の反応体はすべて、反応器をパージおよび/または排気することにより、反応器から除去する。所望の膜がジルコニウム膜である場合には、この2ステッププロセスにより所望の膜厚を達成し得るか、または、この2ステッププロセスを必要な厚さを有する膜が得られるまで繰り返し得る。
あるいは、所望の膜がジルコニウムおよび第2の元素を含有する場合、上記の2ステッププロセスに続いて、追加の前駆体化合物の蒸気を反応器に導入してもよい。追加の前駆体化合物は、堆積されているジルコニウム膜の性質に基づいて選択されることとなる。反応器に導入した後、追加の前駆体化合物は基板と接触する。過剰量の前駆体化合物は、反応器をパージおよび/または排気することにより、反応器から除去する。再度、反応体を反応器に導入して、物理−または化学−吸着前駆体化合物と反応させ得る。過剰量の反応体ガスは、反応器をパージおよび/または排気することにより、反応器から除去する。所望の膜厚が達成されたら、プロセスを終了し得る。しかしながら、より厚い膜が所望される場合、4ステッププロセス全体を繰り返してもよい。ジルコニウム含有膜形成組成物の供給量を変更することにより、追加の前駆体化合物および反応体、所望の組成物および厚さの膜を堆積することが可能である。
この例示的なALDプロセスにおける反応体をプラズマで処理する場合、例示的なALDプロセスは例示的なPEALDプロセスとなる。反応体は、チャンバへ導入する前またはその後にプラズマで処理し得る。
第2の非限定的で例示的なALDタイププロセスにおいては、例えば(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)といった本開示のZr含有膜形成組成物の1種の気相を反応器に導入し、その中でスズ基板と接触させる。次いで、反応器をパージおよび/または排気することにより、過剰量のZr含有膜形成組成物を反応器から除去してもよい。反応体(例えば、O)を反応器に導入し、その中で、物理−または化学−吸着前駆体と自己制御式に反応させて、ZrOまたは(Zr、Ge)O膜を形成する。過剰量の反応体はすべて、反応器をパージおよび/または排気することにより、反応器から除去する。これらの2つのステップを、ZrOまたは(Zr、Ge)O膜が所望の厚さとなるまで繰り返してもよい。次いで、スズ層をZrOまたは(Zr、Ge)O層の上に堆積し得る。得られるスズ/ZrO/スズまたはスズ/(Zr、Ge)O/スズスタックは、DRAMコンデンサにおいて用いられ得る。
第3の非限定的で例示的なALDタイププロセスにおいては、例えば(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)といった本開示のZr含有膜形成組成物の1種の気相を第1のステップにおいて反応器に導入し、その中で、スズ基板と接触させる。次いで、反応器をパージおよび/または排気することにより、過剰量のZr含有膜形成組成物を反応器から除去してもよい。反応体(例えば、O)を反応器に導入し、その中で、物理−または化学−吸着前駆体と自己制御式に反応させて、(Zr、Ge)O膜を形成する。過剰量の反応体はすべて、反応器をパージおよび/または排気することにより、反応器から除去する。これらの2つのステップをサブサイクルとみなし、x回繰り返すことで所望の厚さの(Zr、Ge)O膜を達成してもよい。
第2のステップにおいては、例えば(メチル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)または(エチル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)といったZr含有前駆体の気相を同じ反応器に導入する。次いで、反応器をパージおよび/または排気することにより、過剰量のZr含有前駆体を反応器から除去してもよい。反応体(例えば、O)を反応器に導入し、その中で、物理−または化学−吸着Zr含有前駆体と自己制御式に反応させて、ZrO膜を形成する。過剰量の反応体はすべて、反応器をパージおよび/または排気することにより、反応器から除去する。これらの2つのステップをサブサイクルとみなし、y回繰り返すことで所望の厚さのZrO膜を達成してもよい。
xサブサイクルの(Zr、Ge)OとyサブサイクルのZrOとから構成されるスーパーサイクルを繰り返して、所望の厚さの(Zr、Ge)O膜を達成し得る。ZrおよびGe含有量は、xおよびyのサイクル数(xおよびyは独立して、1〜20の範囲であり得る)を調節することにより制御し得る。次いで、スズ層をZrOまたは(Zr、Ge)O層の上に堆積し得る。得られるスズ/ZrO/スズまたはスズ/(Zr、Ge)O/スズスタックはDRAMコンデンサにおいて用いられ得る。
第4の非限定的で例示的なALDタイププロセスにおいては、例えば(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)といった本開示のZr含有膜形成組成物と、例えば(イソプロピル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)または(t‐ブチル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)といったZr含有前駆体を含有する混合物の気相を反応器に導入し、その中で、例えばスズ、NbN、Ru、TiO、MoOまたはMoOといった基板と接触させる。次いで、反応器をパージおよび/または排気することにより、過剰量の混合物を反応器から除去してもよい。反応体(例えば、O)を反応器に導入し、その中で、物理−または化学−吸着前駆体と自己制御式に反応させて、(Zr、Ge)O膜を形成する。過剰量の反応体はすべて、反応器をパージおよび/または排気することにより、反応器から除去する。これらの2つのステップを、(Zr、Ge)O膜が所望の厚さとなるまで繰り返してもよい。ZrおよびGe含有量は、混合物中のジルコニウム含有膜形成組成物とジルコニウム含有前駆体との比率を調節することにより制御し得る。スズ層を(Zr、Ge)O層の上に堆積し得る。得られるスズ/ZrO/スズまたはスズ/(Zr、Ge)O/スズスタックは、DRAMコンデンサにおいて用いられ得る。
上記において考察されているプロセスにより得られるジルコニウム含有膜は、純粋なジルコニウム、ジルコニウムシリサイド(ZrSi)、酸化ジルコニウム(Zr)、ゲルマニウム−ドープ酸化ジルコニウム(ZrGe)、窒化ジルコニウム(Zr)、またはゲルマニウム−ドープ窒化ケイ素(ZrGe)を含み得、ここで、k、l、m、n、o、p、q、r、s、t、uおよびvは、1以上6以下の範囲である整数である。適切な開示されているZr含有膜形成組成物、任意選択の前駆体化合物および反応体種を公正に選択することで、所望の膜組成を達成し得ることを当業者は認識するであろう。
所望の膜厚を達成した後、熱アニーリング、炉アニーリング、急速熱アニーリング、UVまたはe−ビーム硬化および/またはプラズマガス露出などのさらなる処理に膜を供し得る。当業者は、これらの追加の処理ステップを行うために利用されるシステムおよび方法を認識している。例えば、ジルコニウム含有膜は、およそ200℃〜およそ1000℃の範囲の温度に、およそ0.1秒間〜およそ7200秒間の範囲の時間をかけて、不活性雰囲気下、H含有雰囲気下、N含有雰囲気下、O含有雰囲気下またはこれらの組み合わせにおいて、露出し得る。温度400℃、3600秒間、H含有雰囲気またはO含有雰囲気下であることがもっとも好ましい。得られる膜は含有される不純物が少なく、従って、密度が向上しており、結果として、漏れ電流が向上し得る。アニーリングステップは、堆積プロセスを行ったものと同じ反応チャンバ中で行ってもよい。あるいは、基板を反応チャンバから取り出し、アニーリング/フラッシュアニーリングプロセスを別の装置において実施してもよい。上記の後処理方法はいずれも(熱アニーリングは特に)、ジルコニウム含有膜の炭素および窒素汚染の低減に効果的であることが見出された。これにより、膜の抵抗率も向上する傾向にある。
以下の実施例は、本明細書における開示と併せて、実施した実験を例示するものである。これらの実施例は、すべて包括的であることは意図されておらず、本明細書に記載の開示の範囲を限定することも意図されていない。
実施例1:(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)の合成[Zr(TMG−Cp)(NMe
Zr(NMe(1.33g、0.005mol)のca.20mLのトルエン中の溶液に、室温で、新たに蒸留したTMGCpH(0.93g、0.005mol)を滴下した。混合物を一晩撹拌した。次いで、溶剤を減圧下で除去して、黄色の油を得た。次いで、材料を120℃、6mTorrで蒸留により精製して、1.38g(68%)の純粋な黄色の油を得た。NMRHスペクトルを図3に示す。NMRH(δ,ppm,C6D6):6.28(t,2H),6.23(t,2H),2.95(s,18H),0.38(s,9H)。
油は、窒素を200mL/分流す雰囲気において10℃/分の昇温速度で計測するTGA分析の最中に、3.9%の残渣塊を残した。これらの結果は、温度上昇に伴う重量損失割合(TGA)および示差温度(DTA)を示すTGA/DTAグラフである図5に示されている。分解がおよそ285℃で生じる。揮発度は1Torrで127℃である。
実施例2:(トリメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)の合成[Zr(TMG−Cp)(OiPr)
Zr(TMGCp)(NMe(1.26g、2.8mmol)のca.20mLのTHF中の溶液に、無水イソプロパノール(0.63g、10.5mmol)を滴下した。混合物を室温で一晩撹拌した。次いで、溶剤を減圧下で除去して、明るい黄色の油を得た。次いで、材料を90℃、20mTorrで蒸留により精製して、0.39g(31%)の純粋なわずかに黄色の油を得た。NMRHスペクトルを図4に示す。NMRH(δ,ppm,C6D6):6.56(t,2H),6.43(t,2H),4.25(m,3H),1.17(d,18H),0.48(s,9H)。
油は、窒素を200mL/分流す雰囲気において10℃/分の昇温速度で計測するTGA分析の最中に、1.6%の残渣塊を残した。これらの結果は、温度上昇に伴う重量損失割合(TGA)および示差温度(DTA)を示すTGA/DTAグラフである図6に示されている。分解がおよそ385℃で生じる。揮発度は1Torrで104℃である。
実施例3:Zr(TMG−Cp)(NMeおよびOからのジルコニウムゲルマニウム酸化物の原子層堆積
80℃に加熱した容器において酸化性反応体としてのO中に入れた、実施例1のものと同様に調製したZr(TMG−Cp)(NMeを用いて、ALDテストを行った。典型的なALD条件を、約0.5Torrに固定した反応器圧力と共に用いた。完全表面飽和および反応を伴うALD挙動を、250〜425℃の温度範囲内においてケイ素ウェハ上で評価した。250〜350℃のALD範囲における成長速度は、0.35〜0.50Å/サイクルの範囲内であった。図7は、温度に応じたZr(TMG−Cp)(NMe/O用いるZrO薄膜の成長速度を示す。図8は得られたZrO膜のX線光電子分光(XPS)を示し、すべての不純物が分析ツールの検出限界未満(<1%)であることを示す。
図9は(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)を325℃で用いて、1:10のアスペクト比パターンを有するウェハにおいて堆積された膜の走査型電子顕微鏡(SEM)を示し、100%ステップカバレッジ性能を示す。図10もまた、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)を325℃で用いて、1:40のアスペクト比パターンを有するウェハにおいて堆積された膜のSEMを示し、100%ステップカバレッジ性能を示す。
実施例4:Zr(TMG−Cp)(NMeおよびHOからのジルコニウムゲルマニウム酸化物の原子層堆積
80℃に加熱した容器において酸化性反応体としてのO中に入れた、実施例1のものと同様に調製したZr(TMG−Cp)(NMeを用いて、ALDテストを行った。典型的なALD条件を、約0.5Torrに固定した反応器圧力と共に用いた。完全表面飽和および反応を伴うALD挙動を、250〜375℃の温度範囲内においてケイ素ウェハ上で評価した。250〜375℃のALD範囲における成長速度は0.50Å/サイクルであった。図11は、温度に応じたZr(TMG−Cp)(NMe/HOを用いるZrO薄膜の成長速度を示す。図12は、300℃で成長させて得られたZrGeO膜のX線光電子分光(XPS)を示し、すべての不純物が分析ツールの検出限界未満(<1%)であることを示す。
本発明の性質を説明するために本明細書において記載および例示されている詳細、材料、ステップおよび部品の配置における多くの追加的な変更が、添付の特許請求の範囲に表記されている本発明の原理および範囲内で当業者によってなされ得ることが理解されるであろう。それ故、本発明は、上記の実施例および/または添付の図面中の特定の実施形態に限定されることは意図されていない。

Claims (15)

  1. 以下の式
    Figure 0006681398
    (式中、各R、R、R、R、R、R、R、R、RおよびR10は、H;C1〜C5直鎖、分岐、または環式アルキル基;および、C1〜C5直鎖、分岐または環式フルオロアルキル基からなる群から独立して選択される)
    の一方を有するゲルマニウムおよびジルコニウム含有前駆体を含むジルコニウム含有膜形成組成物。
  2. 前記前駆体が式I
    Figure 0006681398
    を有する、請求項1に記載のジルコニウム含有膜形成組成物。
  3. 前記前駆体が、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NMe);(トリメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHMe));(トリメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NEt);(トリメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHEt));(トリメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NEtMe));(トリメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NnPr);(トリメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHnPr));(トリメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NiPr);(トリメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHiPr));(トリメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NnBu);(トリメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHnBu))(トリメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NiBu);(トリメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHiBu));(トリメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NsBu);(トリメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHsBu));(トリメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NtBu);(トリメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(TMG−Cp)(NHtBu));(ジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NMe);(ジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHMe));(ジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NEt);(ジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHEt));(ジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NEtMe));(ジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NnPr);(ジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHnPr));(ジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NiPr);(ジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHiPr));(ジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NnBu);(ジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHnBu));(ジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NiBu);(ジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHiBu));(ジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NsBu);(ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHsBu));(ジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NtBu);(ジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(DMG−Cp)(NHtBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NMe);(トリフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHMe));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NEt);(トリフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHEt));(トリフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NEtMe));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NnPr);(トリフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHnPr))(トリフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NiPr);(トリフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHiPr));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NnBu);(トリフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHnBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NiBu);(トリフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHiBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NsBu);(トリフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHsBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NtBu);(トリフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FGe−Cp)(NHtBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NMe);(ジフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHMe));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEt);(ジフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHEt));(ジフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEtMe));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnPr);(ジフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnPr));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiPr);(ジフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiPr));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnBu);(ジフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiBu);(ジフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NsBu);(ジフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHsBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(
    HGe−Cp)(NtBu);(ジフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHtBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NMe);(モノフルオロゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHMe));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEt);(モノフルオロゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHEt));(モノフルオロゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NEtMe));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnPr);(モノフルオロゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnPr));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiPr);(モノフルオロゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiPr));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NnBu);(モノフルオロゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHnBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NiBu)(モノフルオロゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHiBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NsBu);(モノフルオロゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHsBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NtBu);(モノフルオロゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FHGe−Cp)(NHtBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NMe);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHMe));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NEt);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHEt));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NEtMe));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NnPr);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHnPr));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NiPr);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHiPr));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NnBu);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHnBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NiBu);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHiBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NsBu);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHsBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NtBu);(フルオロジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr(FMeGe−Cp)(NHtBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NMe);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHMe));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NEt);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHEt));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NEtMe));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NnPr);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHnPr));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NiPr);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHiPr));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NnBu);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHnBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NiBu);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHiBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NsBu);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHsBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NtBu);(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CFGe−Cp)(NHtBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NMe);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHMe));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NEt);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHEt));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NEtMe));(ビス(トリフルオロメチル)ゲルミル)シク
    ロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NnPr);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHnPr));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NiPr);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHiPr));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NnBu);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHnBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NiBu);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHiBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NsBu);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHsBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NtBu);(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CFHGe−Cp)(NHtBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NMe);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(メチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHMe));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジエチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NEt);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHEt));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エチルメチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NEtMe));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジn−プロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NnPr);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−プロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHnPr));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジイソプロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NiPr);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソプロピルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHiPr));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジn−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NnBu);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHnBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジイソブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NiBu);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHiBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジsec−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NsBu);((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHsBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(ジt−ブチルアミノ)ジルコニウム(IV)(Z
    r((CF)MeGe−Cp)(NtBu);および((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(t−ブチルアミノ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(NHtBu)
    からなる群から選択される、請求項2に記載のジルコニウム含有膜形成組成物。
  4. 前記前駆体が、(トリメチルゲルミル)シクロペンタジエニルトリス(ジメチルアミノ)ジルコニウム(IV)[Zr(TMG−Cp)(NMe]である、請求項3に記載のジルコニウム含有膜形成組成物。
  5. 前記前駆体が式II:
    Figure 0006681398
    を有する、請求項1に記載のジルコニウム含有膜形成組成物。
  6. 前記前駆体が、(トリメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OMe));(トリメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OEt));(トリメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OnPr));(トリメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OiPr));(トリメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OtBu));(トリメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OsBu));(トリメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OnBu));(トリメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(TMG−Cp)(OiBu));(ジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OMe));(ジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OEt));(ジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OnPr));(ジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OiPr));(ジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OtBu));(ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OsBu));(ジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OnBu));(ジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(DMG−Cp)(OiBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OMe));(トリフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OEt));(トリフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OnPr));(トリフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OiPr));(トリフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OtBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OsBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OnBu));(トリフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FGe−Cp)(OiBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OMe));(ジフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OEt));(ジフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnPr));(ジフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiPr));(ジフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OtBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OsBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnBu));(ジフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OMe));(モノフルオロゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OEt));(モノフルオロゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnPr));(モノフルオロゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiPr));(モノフルオロゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OtBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OsBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OnBu));(モノフルオロゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FHGe−Cp)(OiBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OMe));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OEt));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OnPr));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OiPr));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OtBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OsBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OnBu));(フルオロジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr(FMeGe−Cp)(OiBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OMe));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OEt));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OnPr));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OiPr));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OtBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OsBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OnBu));(トリス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CFGe−Cp)(OiBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OMe));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OEt));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OnPr));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OiPr));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OtBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OsBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OnBu));(ビス(トリフルオロメチル)ゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CFHGe−Cp)(OiBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(メトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OMe));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(エトキシ)ジルコニ
    ウム(IV)(Zr((CF)MeGe−Cp)(OEt));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−プロポキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OnPr));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソプロポキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OiPr));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(t−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OtBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(sec−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OsBu));((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(n−ブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OnBu));および((トリフルオロメチル)ジメチルゲルミル)シクロペンタジエニルトリス(イソブトキシ)ジルコニウム(IV)(Zr((CF)MeGe−Cp)(OiBu)
    からなる群から選択される、請求項5に記載のジルコニウム含有膜形成組成物。
  7. 前記前駆体が、(トリメチルゲルミル)シクロペンタジエニルトリス(イソ−プロポキシ)ジルコニウム(IV)[Zr(TMG−Cp)(OiPr)]である、請求項6に記載のジルコニウム含有膜形成組成物。
  8. 前記組成物が、およそ95%w/w〜およそ100%w/wの前記前駆体を含む、請求項1に記載のジルコニウム含有膜形成組成物。
  9. 前記組成物が、およそ0.0%w/w〜およそ5.0%w/wの不純物を含む、請求項1に記載のジルコニウム含有膜形成組成物。
  10. 前記不純物が、アルコール;アルキルアミン;ジアルキルアミン;アルキルイミン;シクロペンタジエン;ジシクロペンタジエン;アルキルゲルマン;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;塩化金属化合物;リチウム、ナトリウムもしくはカリウムアルキルアミノ;リチウム、ナトリウムもしくはカリウムアルキルアルコキシ;および/または、リチウム、ナトリウムもしくはカリウムシクロペンタジエニルを含む、請求項9に記載のジルコニウム含有膜形成組成物。
  11. 前記組成物が、およそ0ppbw〜およそ1ppmwの金属不純物を含む、請求項1に記載のジルコニウム含有膜形成組成物。
  12. 前記金属不純物が、アルミニウム(Al)、砒素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラニウム(U)、および/または亜鉛(Zn)を含む、請求項11に記載のジルコニウム含有膜形成組成物。
  13. ジルコニウム含有膜を基板上に堆積するプロセスであって、請求項1〜12のいずれか一項に記載のジルコニウム含有膜形成組成物の蒸気を基板が中に入れられた反応器に導入するステップと、ゲルマニウムおよびジルコニウム含有前駆体の少なくとも一部を前記基板上に堆積するステップとを含むプロセス。
  14. 少なくとも1種の反応体を前記反応器に導入するステップをさらに含み、前記反応体が、H、HCO、N、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカル、およびこれらの混合物からなる群から選択される、請求項13に記載のプロセス。
  15. 少なくとも1種の反応体を前記反応器に導入するステップをさらに含み、前記反応体が、O、O、HO、HNO、NO、NO、これらの酸素ラジカル、およびこれらの混合物からなる群から選択される、請求項13に記載のプロセス。

JP2017530172A 2014-12-23 2015-12-17 ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物 Active JP6681398B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/580,324 US9499571B2 (en) 2014-12-23 2014-12-23 Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US14/580,324 2014-12-23
PCT/US2015/066434 WO2016106086A1 (en) 2014-12-23 2015-12-17 Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films

Publications (2)

Publication Number Publication Date
JP2018502449A JP2018502449A (ja) 2018-01-25
JP6681398B2 true JP6681398B2 (ja) 2020-04-15

Family

ID=52826416

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017530172A Active JP6681398B2 (ja) 2014-12-23 2015-12-17 ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物

Country Status (4)

Country Link
US (2) US9499571B2 (ja)
JP (1) JP6681398B2 (ja)
KR (1) KR102514667B1 (ja)
WO (1) WO2016106086A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9499571B2 (en) * 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
KR101838617B1 (ko) * 2015-02-05 2018-03-14 주식회사 한솔케미칼 금속 산화물 박막, 금속-규소 산화물 박막, 또는 금속-게르마늄 산화물 박막 및 이의 제조 방법
KR20170058820A (ko) * 2015-11-19 2017-05-29 주식회사 유진테크 머티리얼즈 유기 4족 화합물을 포함하는 전구체 조성물 및 이를 이용한 박막 형성 방법
US10106568B2 (en) * 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US11049887B2 (en) 2017-11-10 2021-06-29 Applied Materials, Inc. Layer stack for display applications

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4931417A (en) * 1987-11-09 1990-06-05 Chisso Corporation Transition-metal compound having a bis-substituted-cyclopentadienyl ligand of bridged structure
US6428623B2 (en) 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
IT1272939B (it) 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
WO1996027032A1 (en) 1995-02-28 1996-09-06 Micron Technology, Inc. Chemical vapor deposition utilizing a precursor
US5527752A (en) 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
IT1283010B1 (it) 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP2004507551A (ja) 2000-08-28 2004-03-11 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド ソース材料組成物および化学的蒸着法による基板上への金属膜形成方法
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP4189119B2 (ja) 2000-09-21 2008-12-03 株式会社東芝 ヘッド位置決め制御システム及び同システムを備えた磁気ディスク装置
US6669990B2 (en) 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
ATE340800T1 (de) 2001-10-26 2006-10-15 Epichem Ltd Vorlaeuferverbindungen für chemische dampfphasenabscheidung
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
WO2004010469A2 (en) 2002-07-18 2004-01-29 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
WO2007011459A1 (en) 2005-07-19 2007-01-25 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
JP5128289B2 (ja) 2005-12-06 2013-01-23 株式会社トリケミカル研究所 ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
CN103147062A (zh) * 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
EP2464652A4 (en) 2009-08-14 2013-01-09 Air Liquide HAFNIUM AND ZIRCONIUM-CONTAINING PRECEDENTS AND METHOD OF USE THEREOF
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US8760845B2 (en) 2012-02-10 2014-06-24 Nanya Technology Corp. Capacitor dielectric comprising silicon-doped zirconium oxide and capacitor using the same
US9499571B2 (en) * 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films

Also Published As

Publication number Publication date
US9499571B2 (en) 2016-11-22
US20170050999A1 (en) 2017-02-23
KR20170098850A (ko) 2017-08-30
JP2018502449A (ja) 2018-01-25
WO2016106086A1 (en) 2016-06-30
US9868753B2 (en) 2018-01-16
US20150110958A1 (en) 2015-04-23
KR102514667B1 (ko) 2023-03-27

Similar Documents

Publication Publication Date Title
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US11162175B2 (en) Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
JP6492178B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
KR101949391B1 (ko) 13족 금속 또는 메탈로이드 니트라이드 막을 증착시키는 방법
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
JP6681398B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20200277315A1 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20160083405A1 (en) Tantalum- or vanadium-containing film forming compositions and vapor deposition of tantalum- or vanadium-containing films
KR20190093220A (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20170703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170705

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200323

R150 Certificate of patent or registration of utility model

Ref document number: 6681398

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250