JP5433199B2 - 電子回路 - Google Patents

電子回路 Download PDF

Info

Publication number
JP5433199B2
JP5433199B2 JP2008270752A JP2008270752A JP5433199B2 JP 5433199 B2 JP5433199 B2 JP 5433199B2 JP 2008270752 A JP2008270752 A JP 2008270752A JP 2008270752 A JP2008270752 A JP 2008270752A JP 5433199 B2 JP5433199 B2 JP 5433199B2
Authority
JP
Japan
Prior art keywords
coil
signal
substrate
transmission
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008270752A
Other languages
English (en)
Other versions
JP2010103611A5 (ja
JP2010103611A (ja
Inventor
忠広 黒田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Keio University
Original Assignee
Keio University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Keio University filed Critical Keio University
Priority to JP2008270752A priority Critical patent/JP5433199B2/ja
Priority to PCT/JP2009/065647 priority patent/WO2010047187A1/ja
Priority to US13/125,285 priority patent/US8704609B2/en
Publication of JP2010103611A publication Critical patent/JP2010103611A/ja
Publication of JP2010103611A5 publication Critical patent/JP2010103611A5/ja
Application granted granted Critical
Publication of JP5433199B2 publication Critical patent/JP5433199B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B5/00Near-field transmission systems, e.g. inductive or capacitive transmission systems
    • H04B5/20Near-field transmission systems, e.g. inductive or capacitive transmission systems characterised by the transmission technique; characterised by the transmission medium
    • H04B5/24Inductive coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K7/00Methods or arrangements for sensing record carriers, e.g. for reading patterns
    • G06K7/10Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation
    • G06K7/10009Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves
    • G06K7/10158Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves methods and means used by the interrogation device for reliably powering the wireless record carriers using an electromagnetic interrogation field
    • G06K7/10178Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves methods and means used by the interrogation device for reliably powering the wireless record carriers using an electromagnetic interrogation field including auxiliary means for focusing, repeating or boosting the electromagnetic interrogation field
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B5/00Near-field transmission systems, e.g. inductive or capacitive transmission systems
    • H04B5/20Near-field transmission systems, e.g. inductive or capacitive transmission systems characterised by the transmission technique; characterised by the transmission medium
    • H04B5/24Inductive coupling
    • H04B5/26Inductive coupling using coils
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B5/00Near-field transmission systems, e.g. inductive or capacitive transmission systems
    • H04B5/20Near-field transmission systems, e.g. inductive or capacitive transmission systems characterised by the transmission technique; characterised by the transmission medium
    • H04B5/24Inductive coupling
    • H04B5/26Inductive coupling using coils
    • H04B5/263Multiple coils at either side

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Signal Processing (AREA)
  • Near-Field Transmission Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は、積層実装されるIC(Integrated Circuit)ベアチップなどのチップ間の通信を好適に行うことができる電子回路に関し、非接触メモリカードのようなプリント配線基板間の通信などにも適用することができる。
LSI(Large Scale Integration)チップのチップ上の配線やプリント基板上の配線により形成されるコイルを介して積層実装されるチップ間や近接して配置された基板間で誘導結合による通信を行う電子回路が提案されている(特許文献1〜7、非特許文献1〜11参照)。
また、特許文献1には、送信器が送信コイルに正または負の単一極性でパルス形状の(以降「シングルパルス」と称する)電流信号(IT)を流し、送信コイルと誘導結合する受信コイルに発生する正負の双極性でダブルパルス形状のパルス(以降「ダブルパルス」と称する)電圧信号(VR)の正または負のいずれかの極性のパルス(すなわち前半か後半のパルス)の信号を、同期式比較器で構成された受信器で検出して受信する技術が例示される。
連結された2つのコイル(以下、インターポーザともいう)を用いてボード間通信に用いるアイデアは既に知られている(非特許文献9〜11参照)。
特開2005−228981号公報 特開2005−348264号公報 特開2006−050354号公報 特開2006−066454号公報 特開2006−105630号公報 特開2006−173986号公報 特開2006−173415号公報 D. Mizoguchi et al, "A 1.2Gb/s/pin Wireless Superconnect based on Inductive Inter-chip Signaling (IIS)," IEEE International Solid-State Circuits Conference (ISSCC'04), Dig. Tech. Papers, pp. 142-143, 517, Feb. 2004. N. Miura et al, "Analysis and Design of Transceiver Circuit and Inductor Layout for Inductive Inter-chip Wireless Superconnect," Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 246-249, Jun. 2004. N. Miura et al, "Cross Talk Countermeasures in Inductive Inter-Chip Wireless Superconnect," in Proc. IEEE Custom Integrated Circuits Conference (CICC'04), pp. 99-102, Oct. 2004. N. Miura, D. Mizoguchi, M. Inoue, H. Tsuji, T. Sakurai, and T. Kuroda,"A 195Gb/s 1.2W 3D-Stacked Inductive Inter-Chip Wireless Superconnect with Transmit Power Control Scheme,"IEEE International Solid-State Circuits Conference (ISSCC'05), Dig. Tech. Papers, pp. 264-265, Feb. 2005. N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, and T. Kuroda, "A 1Tb/s 3W Inductive-Coupling Transceiver for Inter-Chip Clock and Data Link," IEEE International Solid-State Circuits Conference (ISSCC'06), Dig. Tech. Papers, pp. 424-425, Feb. 2006. N. Miura, H. Ishikuro, T. Sakurai, and T. Kuroda, "A 0.14pJ/b Inductive-Coupling Inter-Chip Data Transceiver with Digitally-Controlled Precise Pulse Shaping," IEEE International Solid-State Circuits Conference (ISSCC'07), Dig. Tech. Papers, pp.264-265, Feb. 2007. H. Ishikuro, S. Iwata, and T. Kuroda, "An Attachable Wireless Chip Access Interface for Arbitrary Data Rate by Using Pulse-Based Inductive-Coupling through LSI Package,"IEEE International Solid-State Circuits Conference (ISSCC'07), Dig. Tech. Papers, pp.360-361,608, Feb. 2007. N. Miura, Y. Kohama, Y. Sugimori, H. Ishikuro, T. Sakurai, and T. Kuroda,"An 11Gb/s Inductive-Coupling Link with Burst Transmission,"IEEE International Solid-State Circuits Conference (ISSCC08), Dig. Tech. Papers, pp.298-299, Feb. 2008. S. Mick, J. Wilson and P. Franzon, "4 Gbps high-density AC coupled interconnection," Proc. IEEE Custom Integrated Circuits Conf., May 2002, pp. 133-140. K. Chandrasekar, Z. Feng, J. Wilson, S. Mick, P. Franzon, "Inductively coupled board-to-board connectors," Proc. IEEE Electronic Components and Technology, May 2005, pp. 1109-1113. Jian Xu, "AC COUPLED INTERCONNECT FOR INTER-CHIP COMMUNICATIONS," PhD Dissertation, Graduate Faculty of North Carolina State University, 2006.
誘導結合による通信では、通信を行うコイルの中心軸が揃っていない場合、受信信号が減衰して通信できなくなる、あるいは、より大きな電力が必要になる。
また、隣接する通信チャネルからのクロストークが増大して、通信のビット誤り率が高くなる。コイルの中心軸がコイルの直径の半分程度ずれると、受信電力は1/3程度に減少する。
しかし、実際にはコイルの中心軸を揃えることが困難な場合が多々ある。例えば、メモリチップとプロセッサチップが誘導結合で通信できるように両チップを積層実装する場合を考える。
両チップを積層したチップを製造販売するA社は、複数のベンダーからメモリチップとプロセッサチップを購入して供給安定を図りたいと考え、メモリチップをB社とC社から、プロセッサチップをD社とE社から調達する場合、これら4つのメーカから供給されるチップのコイルの位置が一致しなければならない。
4社が位置を揃えた設計をすることは容易ではない。なぜならメモリやプロセッサは汎用性の高いチップなので、B、C、D、Eの各社はA社以外とも同様の事業を行い、A社の要求通りにコイルの位置を決められないこともあるからである。たとえコイルの位置を合わせることができたとしても、各社はそれぞれに競争力を付けるために独自の計画でチップをスケーリング(微細化)するので、コイルの位置がやがて合わなくなることもある。
こうした複数製造ベンダーの都合による理由の他にも、コイルを配置できる場所の制約がチップ毎に異なるという理由もある。例えばメモリセルやアナログ回路への電磁的干渉による誤動作を心配して、メモリセルアレイやアナログ回路の近くにはコイルを配置しないという制約を設けることが想定される。
一般にメモリセルアレイは大きな面積を占めるので、両チップに共通してメモリセルアレイが存在しない領域となると限定されることとなる。チップの数が3つ以上になると、この限定は更に厳しくなる。このように、それぞれのチップのレイアウトの制約から、コイルの中心軸が揃うようにコイルを配置できない場合が考えられる。
上記のレイアウトによる制約は、インターポーザを使用することにより解決することができると考えられる。
非特許文献9、10、11は、同一研究グループが発表した学術論文であり、コイルを2つ連結して信号を伝送する将来技術に言及している。しかしながら、非特許文献9の後に発表された非特許文献10、11には、2つのコイルを連結して非同期通信することが現在の技術では不可能であり、今後の研究テーマであると述べられ、こうした通信を実現するための送受信回路は当時知られていないことを窺わせる。
非特許文献10のFigure15はコンセプトを示した図であり、その実現のためには以下の課題があると指摘している(下線は本明細書で追加)。
Figure 15 shows a conceptual view of the projected system level application for inductively coupled connectors. (中略) One of the issues in signaling across a complete system, as shown in Figure 15, is that a square pulse passing through a double differentiator produces a double pulse. This effect needs to be "passively" equalized through transformer parameter optimization. However, circuits have been built to handle double pulse signals at the receiver input [9].
上記のように、非特許文献10には、電子回路が、文献[9]に記載されているダブルパルスを受信できることが示されている。上記文献[9]は、本発明者が過去に発表した、非特許文献2のことである。非特許文献2では、同期式受信回路を用いてダブルパルスを受信できることが示されている。しかし、同期方式であるために、タイミングを取るためのクロックの送受信も必要になり、インターポーザの配線や送受信器もその分増えるのでコストが高くなる。更にクロックのタイミング調整が必要になるので使いにくい。こうした課題のない非同期の送受信方式を用いてインターポーザを実現したアイデアはこれまでの文献には見当たらない。
非特許文献11の6.2 Future Work(今後の課題)の章には、Figure6.7およびFigure6.10を用いて、今後の課題が以下のように指摘されている。
The first transformer has a band-pass characteristic; it differentiates NRZ signals into RZ pulses.(中略)The second transformer has the same band-pass characteristic as the first one; it differentiates the pulses and creates double pulses. For instance, simulated eye-diagrams for the single pulse at the end of T-line and the double pulses at the input of RX are shows in Figure 6.10. Due to the double pulses, the RX circuit cannot recover the NRZ signals. Limiting or avoiding double pulses is one of the major design challenges in a LCI system with two transformers.
すなわち、2つのコイルを連結すると、入力波形が2階微分され、送信側で送ったNon-Return-to-Zero信号が、受信側ではダブルパルスになって出力されるために、従来の受信器では受信できないことが述べられている。
従来の非同期受信器は、いつでも信号を受信できるように受信感度を常に高くしているので、ノイズによる誤動作の確率が高くなる。そこで、ヒステリシス比較器で非同期受信器を構成して、受信パルス信号VRが一定の閾値を超えると受信信号Rxdataが反転し、閾値以下のノイズでは受信信号が反転しないようにする。この入力閾値は、ヒステリシス比較器が出力しているデータに応じて変化する。
ヒステリシス比較器を使用する場合、初期状態で、受信信号Rxdataとしてローを出力していたときは、入力閾値は+Vthだけ高い。入力に正のパルスが入力されてこの入力閾値を超えると受信信号Rxdataが反転してハイになり、入力閾値が−Vthだけ低くなる。次にこの入力閾値を超える負のパルス電圧が入力されるまで受信信号Rxdataはハイに保持される。この繰り返しで正負のパルス電圧から正しくディジタルデータを復元することができる。
ところが、上記非同期受信器ではダブルパルス信号を受信できない。例えば、待ち構えていたデータをダブルパルス信号の前半パルスで検出した場合は、その直後に続く逆極性の後半パルスにも応答し、受信データは送信データと異なるディジタルデータとなる。
次に送信されるデータは先に送信されたデータと逆極性になるので(例えばデータがローからハイに変化した次はハイからローに変化する)、受信データは、その前の受信データに比べて正負が逆極性のダブルパルスとなる。
したがって、前半のパルスは入力閾値から離れる入力となり、入力閾値は入力信号と逆方向に変化する。逆方向に変化した入力閾値が元の設定値に戻るには所定の時間を要するので、直後に来る後半のパルスを正しく受信できない。従って、受信データは変化せず、送信データと異なるものとなる。このように、従来の非同期受信器ではダブルパルス受信信号を正しく受信できない。
本発明は、チップ上の配線で形成されたコイルを備えたチップが積層実装され、両チップのコイルの中心軸が一致しないために十分な誘導結合を得られず通信できない一対のコイルに対して、両チップの間にインターポーザ(再配線層)を挿入するとともに、受信信号を正しく受信することのできる非同期受信器を備えた電子回路を用いることにより、インターポーザ上の配線で形成されたコイルと各チップ上のコイルを誘導結合させ、インターポーザ上のコイルを配線で結合することにより、所望のコイル間の誘導結合を再構築してデータ通信を可能にすることを目的とする。
本発明による電子回路は、配線により形成されて信号を送信する第1コイルと、配線により形成されて信号を受信する第2コイルと、該第1コイルに接続されて第1コイルへ信号を出力する第1送信回路と、前記第2コイルに接続されて該第2コイルから信号を入力する第1受信回路とを有する第1基板と、
前記第1基板に近い第1面に形成された配線により前記第1コイルと対応する位置に形成されて第1コイルとの間で通信チャネルを構成して信号を受信する第3コイルと、前記第1面に形成された配線により前記第2コイルと対応する位置に形成されて第2コイルとの間で通信チャネルを構成して信号を送信する第4コイルと、基板上の前記第1面の配線により前記第3コイルとスルーホールで接続されて信号を送信する第5コイルと、前記第1面の配線により前記第4コイルとスルーホールで接続されて信号を受信する第6コイルとを有する第2基板と、
配線により前記第5コイルと対応する位置に形成されて第5コイルとの間で通信チャネルを構成して信号を受信する第7コイルと、該第7コイルに接続されて第7コイルから信号を入力する第2受信回路と、前記第6コイルと対応する位置に形成されて第6コイルとの間で通信チャネルを構成して信号を送信する第8コイルと、該第8コイルに接続されて第8コイルへ信号を出力する第2送信回路とを有する第3基板と、
を備え、
前記第1基板および前記第3基板は前記第2基板上に並設され、
前記第3コイル前記第6コイル間の誘導結合、前記第4コイルと前記第5コイルの間の誘導結合が十分に小さくなるように前記第3コイルと前記第6コイルの間および、前記第4コイルと前記第5コイルの間の距離のそれぞれが、前記第3コイル、前記第4コイル、前記第5コイル、前記第6コイルの最も大きな直径よりも大きな距離とされることを特徴とする。
本発明の他の形態による電子回路は、配線により形成されて信号を送受信する第1コイルと第2コイルと、該第1コイルに接続されて第1コイルとの間で信号を入出力する第1送受信回路と、前記第2コイルに接続されて該第2コイルとの間で信号を入出力する第2送受信回路とを有する第1基板と、
前記第1基板に近い第1面に形成された配線により前記第1コイルと対応する位置に形成されて第1コイルとの間で通信チャネルを構成して信号を送受信する第3コイルと、前記第1面に形成された配線により前記第2コイルと対応する位置に形成されて第2コイルとで通信チャネルを構成して信号を送受信する第4コイルと、基板上の前記第1面の配線により前記第3コイルとスルーホールで接続されて信号を送受信する第5コイルと、前記第1面の配線により前記第4コイルとスルーホールで接続されて信号を送受信する第6コイルとを有する第2基板と、
配線により前記第5コイルと対応する位置に形成されて第5コイルとの間で通信チャネルを構成して信号を送受信する第7コイルと、該第7コイルに接続されて第7コイルとの間で信号を入出力する第3送受信回路と、前記第6コイルと対応する位置に形成されて第6コイルとの間で通信チャネルを構成して信号を送受信する第8コイルと、該第8コイルに接続されて第8コイルとの間で信号を入出力する第4送受信回路とを有する第3基板と、
を備え、
前記第1基板および前記第3基板は前記第2基板上に並設され、
前記第3コイル前記第6コイル間の誘導結合、前記第4コイルと前記第5コイルの間の誘導結合が十分に小さくなるように前記第3コイルと前記第6コイルの間および、前記第4コイルと前記第5コイルの間の距離のそれぞれが、前記第3コイル、前記第4コイル、前記第5コイル、前記第6コイルの最も大きな直径よりも大きな距離とされることを特徴とする。
上記のように構成される本発明においては、送信データの論理値の変化毎に第1コイルに流す電流を変化させる。この結果、インターポーザを通過して受信回路にて受信される電圧波形は送信データに応じた変化を伴うものとなる。一般的には微分されたパルス形状のものとなるので、閾値で比較することによりモノパルス形状の信号を抽出し、該モノパルス信号から送信データを再生することが可能となる。
このように、本発明においては、インターポーザを用いた場合であっても非同期受信が可能となり、基板に形成されたコイルの誘導結合を利用して非同期通信する電子回路において、コイルの位置を自由に配置することができる。その結果、それぞれの基板(チップ)や製造ベンダーにとって最適の位置にコイルを配置できるので、基板(チップ)のコストを低減できる。また、非同期通信ができるので、同期通信のようなタイミングの調整を必要としない。
また、同期用信号が不要となり、送信量が少なくなり、通信電力を削減できる。
インターポーザを実現するコイル間に抵抗を設けた場合には、伝送される波形形状を調整可能とすることができ、再配線するコイルの距離が短くても正しく信号通信できる。この結果、距離に応じて送受信回路を設計し直す必要がなくなる。
インターポーザの厚みを調整する場合には、不要な誘導結合による影響を防ぐことができる。
遮断膜を設ける場合には、インターポーザを薄くできる。
以下、添付図面を参照しながら本発明の好適な実施の形態について詳細に説明する。
実施例1
図1は本発明による実施例1の要部構成を示す図、図2はその動作波形を示す図である。
本実施例は、チップ100、インターポーザ200、チップ300から構成されている。
チップ100には送信回路110および送信コイル120が搭載され、チップ300には受信回路310および受信コイル320が搭載され、インターポーザ200には送信コイル120、受信コイル320と、それぞれ誘導結合を行う送受信コイル210、220が搭載されている。
チップ100、300にはこれらの他に、メモリなどのデバイスとして機能する部品(不図示)が搭載されている。
送信回路110は、非特許文献8に記載されている送信回路により構成されている。図2に示すように、送信データTxdataに応じて正もしくは負の電流ITを送信コイル120に流す。
送受信コイル210、220には、電流ITによる誘導電流が流れ、これにより、受信コイル320には、電流ITを2階微分した波形であるダブルパルスの電圧信号VRが誘起される。
電圧信号VRの典型的な値として、ダブルパルス信号のピーク間振幅値は200mVであり、時間幅は100psecである。この電圧信号VRは、受信回路310に設けられた差動入力比較器である比較器311、312で検出される。
比較器311はΔVTの入力閾値オフセットを有し、比較器312は−ΔVTの入力閾値オフセットを有する。すなわち比較器311は、入力にΔVT以上の電圧が入力したときにハイを出力し、ΔVT以下の電圧が入力したときにはローを出力する。
一方、比較器312は、入力に−ΔVT以上の電圧が入力したときにハイを出力し、−ΔVT以下の電圧が入力したときにはローを出力する。
ΔVTの典型的な値は30mVである。ΔVTのオフセットを有した比較器を設計する方法は広く知られている。例えば、差動入力のトランジスタ対のチャネル幅を異なる大きさに設計したり、一方のトランジスタのソースに抵抗を挿入したりすることで、ΔVTのオフセットを実現できる。
2つの比較器311、312を用いてダブルパルスの2つのピークを検出し、パルス信号が生成される。比較器311の出力(N1)および比較器312の反転出力(N2)はフリップフロップで構成された分周回路にそれぞれ入力され、それぞれの立ち上がりエッジで出力データが反転した出力(N3、N4)が得られる。各フリップフロップの出力は論理積回路により積算され(N5)、その波形に対して再びフリップフロップにより分周を行うことにより、送信データTxdataと同じ受信データRxdataが再生できる。
図3は、インターポーザ200のレイアウト例を示す図である。
図3(a)に示す例では、一層の配線で一巻きの送受信コイル210’、220’を形成して両者を連結した例である。典型低な寸法は、各コイルの直径が200μm、線幅が10μm、線間隔が5μmである。配線層が1層でできるので製造コストを低減できる利点がある。
図3(b)に示す例では、複数の配線層と接続ビアを用いることにより巻き数を増やした送受信コイル210”、220”としたものである。このような構成とした場合、各コイルのインダクタンスを大きくして受信信号を大きくできるので、送受信電力を低減できるという利点がある。
インターポーザを構成する基板には、エポキシ樹脂のような有機材料を用いた基板とシリコン基板を用いることが考えられる。有機材料とすると製造コストが低くできる利点があり、シリコン基板とすると加工寸法を小さくすることができる利点がある。
パルス幅を100psecにし、次のパルスとの間隔を100psecにすると、5Gbpsのデータ通信ができる。
上記のように構成される本実施例においては、ダブルパルス形状の入力信号を閾値で比較することにより、モノパルス形状の信号を抽出し、該モノパルス信号から送信データが生成される。
実施例2
図4は本発明による実施例2の要部構成を示す図、図5はその動作波形を示す図である。
実施例1においては、送信コイルに直流電流が流れ続ける。本実施例は、送信コイルに流れる電流をパルス状にして送信の消費電力を低減する。
本実施例は、チップ400、実施例1と同構成のインターポーザ200、チップ500から構成されている。
チップ400には送信回路410および送信コイル420が搭載され、チップ500には受信回路510および受信コイル520が搭載されている。
チップ400、500にはこれらの他に、メモリなどのデバイスとして機能する部品(不図示)が搭載されている。
送信回路410は、特許文献1に記載の送信回路で構成されるもので、送信データTxdataは、複数のインバータ回路による遅延時間τをもって送信コイル420の両端に接続されたインバータ対に与えられ、これにより送信データTxdataに変化が生じた場合に遅延時間τの間、送信コイル420へ電流が流れる。インバータ対の駆動力は、送信コイル420に流れる電流が三角波となるように設定されている。
図5に示すように、送信回路410は、送信データTxdataに応じて正もしくは負の極性で三角波状の電流ITを送信コイル420に流す。
送受信コイル210、220には、電流ITによる誘導電流が流れ、これにより、受信コイル520には、電流ITを2階微分した波形であるトリプルパルスの電圧信号VRが誘起される。
電圧信号VRの典型的な値として、トリプルパルス信号のピーク間振幅値は200mVであり、時間幅は100psecである。本実施例の場合には送信回路410の遅延時間τを100psecに設計する。
電圧信号VRは、受信回路510に設けられたヒステリシス比較器511に入力される。ヒステリシス比較器511は非特許文献8に記載されている差動入力比較器である。
ヒステリシス比較器511は、例えばpMOSトランジスタP1とnMOSトランジスタn1から成るCMOSインバータと、pMOSトランジスタP2とnMOSトランジスタn2から成るCMOSインバータで増幅回路を構成すると共に、pMOSトランジスタP1に並列されたpMOSトランジスタP3とpMOSトランジスタP2に並列されたpMOSトランジスタP4とのゲートが前記CMOSインバータの出力端子にクロスカップルされて成るラッチ回路を有する。
ラッチ回路は保持しているデータに応じて前記インバータの閾値電圧を変化させる。例えば、ラッチ回路がローレベルの信号をラッチするとpMOSトランジスタP3の電流供給作用によりそれぞれのCMOSインバータの論理閾値電圧よりも絶対値的に大きな反転入力がなければ出力が反転されず、見掛け上ヒステリシス比較器511の閾値電圧が高くされる。
ラッチデータが一旦反転されると、今度はpMOSトランジスタP4の電流供給作用によりそれぞれのCMOSインバータの論理閾値電圧よりも絶対値的に大きな反転入力がなければ出力が反転されず、見掛け上ヒステリシス比較器511の閾値電圧が低くなる。
このように、ヒステリシス比較器511は、その出力信号の論理値が反転する毎にその入力閾値電圧が変化される。ヒステリシス比較器511は、図5に示すように電圧信号VRが変化するたびに電位が変化するパルス信号N1を出力する。電圧信号VRの波形中に記載された破線はヒステリシス比較器511の閾値電圧を示す。このパルス信号N1の幅はおよそ0.5τである。
パルス信号N1をフリップフロップで構成された分周回路に入力すると、それぞれの立ち上がりエッジで出力データが反転するN2の出力を得る。N2を再びフリップフロップにより分周すると、送信データTxdataと同様の受信データRxdataが再生できる。
図5に示すように、受信データRxdataは送信データTxdataと波形のハイの期間が異なる。これは、Rxdataのハイを作るきっかけがトリプルパルスの1つ目のパルスになったり3つ目のパルスになったり交互に変わるからである。トリプルパルスの時間幅が100psecの場合、1つ目のパルスと3つ目のパルスの間は、75psecであるから、5Gbpsのデータ通信の場合、Rxdataの波形は、ハイの期間が200psec、もしくは、125psecになる。
実施例3
図6は本発明による実施例3の要部構成を示す図、図7はその動作波形を示す図である。
実施例2のように、受信データRxdataの波形が、送信データTxdataの波形とハイの期間が異なることが問題となる場合がある。本実施例は、この問題を改善した。
本実施例は、チップ600、実施例1と同構成のインターポーザ200、チップ700から構成されている。
チップ600には送信回路610および送信コイル620が搭載され、チップ700には受信回路710および受信コイル720が搭載されている。
チップ600、700にはこれらの他に、メモリなどのデバイスとして機能する部品(不図示)が搭載されている。
送信回路610は、送信データTxdataの変化を検出してパルスを発生するエッジ検出・パルス発生回路611を備えている。エッジ検出・パルス発生回路611は、例えば、送信データTxdataとその遅延信号との排他的論理和信号によって、pMOSトランジスタP0,nMOSトランジスタN0から成るCMOSインバータによって送信コイル620の一端の電位を駆動して、送信コイル620の他端から電流を引き込むように構成される。
上記のように、送信回路610は送信データTxdataに0から1、もしくは、1から0の変化があったとき、送信コイル620に単一極性のシングルパルス電流を流すことによって送信する。このように送信回路610は送信データの0から1、もしくは、1から0の両者を区別せずにデータを送信することになる。
送信回路610は送信データTxdataの極性情報は送信しない。さらに具体的には、送信回路610は、2入力の排他的論理和ゲート(EXOR)の2つの入力にτの時間差を付けて送信データTxdataを入力して、τの時間幅のパルス信号を出力する。その結果、τの時間だけ出力段のnMOSトランジスタN0がオンしてコイルに電流ITを流すが、その後、nMOSトランジスタN0がオフし、その後も送信コイル620のインダクタンスでしばらくは電流を流し続けるが、やがて電流ITは減少してゼロとなる。
送信回路610の出力段は、nMOSトランジスタだけで構成することもできるが、nMOSトランジスタがオフした後に送信コイル620のインダクタンスと寄生キャパシタンスにより送信コイル620の電位や電流が共振して送受信の妨げになることがある。本実施例の場合、pMOSトランジスタも加えたインバータ回路で構成することにより、nMOSトランジスタN0がオフのときはpMOSトランジスタP0がオンするので共振は起こらない。pMOSトランジスタP0のチャネル幅は、コイルが共振を起こさない程度に小さくすればよい。
上記のように、送信回路610は、図7に示すように、送信データTxdataがローからハイに変化するときとハイからローに変化するときに正の極性でパルス状の電流ITを送信コイル620に流す。実施例2との違いは、このパルス状の電流ITの極性が正と負に交互になるのではなく、常に正の極性である点である。その結果、受信信号VRのトリプルパルス信号の極性が常に等しく、反転することはない。
送受信コイル210、220には、電流ITによる誘導電流が流れ、これにより、受信コイル720には、電流ITを2階微分した波形であるトリプルパルスの電圧信号VRが誘起される。
受信回路710は−ΔVTの入力閾値オフセットを有した差動入力比較器と、該差動入力比較器出力N1を分周するフリップフロップより構成されており、これらにより送信データTxdataの波形とハイの期間が同じ受信データRxdataを得ることができる。ただし、受信信号Rxdataからは送信信号Txdataが反転したことしか分からないため、リセット後の最初の送信データが0か1かを予め決めておけば良い。
実施例4
図8は、本発明による実施例4を説明するための図であり、図8(a)はインターポーザの近似的な等価回路とトランスインピーダンスの理論式を示し、図8(b)は、周波数に対するトランスインピーダンスの計算結果を示す図、図9は、本実施例によるインターポーザの構成を示す回路図である。
実施例1から実施例3では、2つのコイルが連結されたインターポーザを使用して伝送を行うことにより、入力波形が2階微分されて出力されていた。この結果、実施例1では、送信側で送ったNRZ信号が受信側ではダブルパルスになって出力され、実施例2または実施例3では、送信側で送ったパルス信号が受信側ではトリプルパルスになって出力された。
しかし、インターポーザの電気的パラメータの設定次第では、受信波形を入力波形の1階微分した形状とすることができる。
図8に、インターポーザの近似的な等価回路とトランスインピーダンスの理論式と計算結果を示す。インターポーザの特性周波数f1を、
Figure 0005433199
とすると、周波数が特性周波数f1よりも低い領域では、受信電圧は送信電流の2階微分で表され、周波数が特性周波数f1よりも高く、共振周波数よりも低い領域では、受信電圧は送信電流の1階微分で現されることが分かる。ただし、共振周波数に近づくと受信信号が共振を始めるので、受信コイルの両端の間に抵抗を挿入するなどして信号をダンピングする必要が生じる。
例えば、図3(a)に示したインターポーザを用いる場合、コイルの直径を0.2mm、コイル間の距離を1mmとすると、配線全長は3.6mmとなる。配線のシート抵抗を10mΩ/□とすると、配線幅が10μmであると配線抵抗は約10Ωとなる。コイルのインダクタンスをL1=L2=5nH、インターポーザの抵抗をR=10Ωとすると、特性周波数f1は166MHzになる。また、インターポーザの共振周波数を10GHzとすると、166MHzから1GHzの間の周波数成分の信号に対しては、インターポーザを介しても受信電圧は入力波形の1階微分された波形となる。
インターポーザ上のコイルの間隔を4mmにすると、配線抵抗は約30Ωになり、特性周波数f1は500MHzになる。すなわち、インターポーザで結合する2つのコイル間の距離が短いと受信信号は送信電流波形の1階微分になるが、距離が長いと受信信号は送信電流波形の2階微分になる。
実施例1から3では2階微分になった受信波形を受信できるように受信回路が構成されているので、距離が短くなって受信波形が1階微分になると、誤動作する。
距離が近い場合でも、図9に示すように配線2001に抵抗2002を挿入すると、受信波形を2階微分とすることができる。このための抵抗は、接続ビアの寄生抵抗(1つのビアでおよそ10Ω)を利用して、接続ビアを挿入することで実現できる。
実施例5
図10は本発明による実施例5の要部構成を示す図、図11はその動作波形を示す図である。
本実施例は、実施例1と同構成のチップ100、実施例1と同構成のインターポーザ200、チップ800から構成されている。
チップ800には受信回路810および受信コイル820が搭載され、メモリなどのデバイスとして機能する部品(不図示)が搭載されている。
本実施例においては、インターポーザ200は、その配線が短く、配線抵抗が小さいものとされている。このため、送信回路110が送信データTxdataに応じて正もしくは負の電流ITを送信コイル120に流し、送受信コイル210、220に電流ITによる誘導電流が流れることにより、受信コイル820に誘起される電圧信号VRは、電流ITを1階微分した波形となる。
受信回路810は、図4に示した第2の実施例におけるヒステリシス比較器511と同様の構成のヒステリシス比較器811を備えており、電圧信号VRが変化するたびに電位が変化するパルス信号を出力する。これにより、送信データTxdataと同様の受信データRxdataが再生できる。
実施例6
図12は本発明による実施例6の要部構成を示す図、図13はその動作波形を示す図である。
本実施例は、実施例2と同構成のチップ400、インターポーザ200、図10に示した実施例5と同構成のチップ800から構成されている。
送信回路410を構成するトランジスタ対の駆動力について、実施例2では送信コイル420に流れる電流が三角波となるように設定されているとして説明したが、本実施例においては、台形となるように設定されている。
本実施例においても、インターポーザ200は、その配線が短く、配線抵抗が小さいものとされている。このため、送信回路410が送信データTxdataに応じて正もしくは負の電流ITを送信コイル420に流し、送受信コイル210、220に電流ITによる誘導電流が流れることにより、受信コイル820に誘起される電圧信号VRは、電流ITを1階微分した波形となる。
送信回路410は、送信コイル420の両端を相補的に駆動する一対のCMOSインバータを有し、一方のインバータに送信データTxdataが供給され、他方のインバータに送信データTxdataの遅延信号が供給され、送信データの論理値に従った向きで、且つ、その遅延時間τpに従った長さだけ送信コイル420にパルス電流を流す。
即ち、送信回路410は、遅延素子による遅延時間τpに基づいてパルス電流ITのパルス幅を決定する。パルス電流ITのパルス幅は、パルス電流ITの変化率に従って送信コイル420に誘導される誘導電圧VRを、双極性の一対のシングルパルス形状とするために必要な幅を有する。
チップ800の受信回路810は、受信コイルに誘起されたシングルパルス形状の電圧信号VRを閾値電圧を用いて判定し、判定結果をRxdataとして出力するヒステリシス比較器811を有する。
送信回路410は送信データの0から1の変化と1から0の変化を区別して送信コイル420に双極性のシングルパルス電流ITを生成する。受信電圧信号がダブルパルスにならずに、2つのシングルパルスが十分な間隔を空けて受信されるように送信電流パルス幅が決定されている。例えば、図13に代表されるように、パルス電流ITの立ち上がりと立下りの間にτpの時間間隔を空けたことにより、一つのパルス電流ITによって双極性の一対のシングルパルス(極性の異なる2個のシングルパルス)の電圧信号VRを得ることができる。τrやτfの典型的な値が100psである場合、τpの典型的な値は300psである。τpの値はTxdataに対するその遅延信号の遅延時間であり、図示のインバータなどのゲート段数の増減やトランジスタのチャネル幅などを変えることでその遅延時間を決定すればよい。
本実施例において、受信コイル820に誘起される双極性の一対のシングルパルス電圧信号VRは凸と凹もしくは凹と凸の極性の組合せとされ、その極性の順序は送信データの極性によって決まる。このとき、受信回路810は受信コイル820に誘起される双極性の一対のシングルパルス電圧信号VRの1つ目のシングルパルスは検出せず、2つ目のシングルパルス信号をヒステリシス比較器811で検出して反転することによって受信データを復元することができる。そのためには、ヒステリシス比較器811の閾値電圧を初期設定することが必要であり、ここでは第1の方法と第2の方法を開示する。
第1の方法は、最初のデータが1か0かを予め送受信で取り決めしておく。例えば0と決めておくと、最初の1が送受信されたときに受信するダブルパルスの極性が決まるから、ヒステリシス比較器811の閾値を2つ目のパルスを受信できる論理値に初期設定する。
例えば、図13には送信データの先頭を論理値0とし、ヒステリシス比較器811の出力ノードの初期値を論理値1とする場合、すなわち、受信データRxdataの初期値を論理値0とする場合の例が示される。
送信データTxdataの立ち上がり、立下りの時刻における変化に対して、シングルパルスの電圧信号VRの立ち上がり、立下りに応答して受信データRxdataが変化し、受信データを正常に再生することができる。
第2の方法は、ヒステリシス比較器の閾値を正しく初期設定しようとはせずに、送信データの先頭に0→1もしくは1→0の2ビットのダミーデータを付加して送受信し、これによって、ダミーデータ以降のデータの送受信動作ではヒステリシス比較器811の閾値が自動的に正しく設定され、正しく受信動作を行うことが可能にされる。
実施例7
図14は本発明による実施例7の要部構成を示す図、図15はその動作波形を示す図である。
本実施例は、図6に示した実施例3と同構成のチップ600、インターポーザ200、チップ900から構成されている。
本実施例においても、インターポーザ200は、その配線が短く、配線抵抗が小さいものとされている。このため、送信回路610が送信データTxdataに応じて正もしくは負の電流ITを送信コイル620に流し、送受信コイル210、220に電流ITによる誘導電流が流れることにより、受信コイル920に誘起される電圧信号VRは、電流ITを1階微分した波形となる。
チップ900には受信回路910および受信コイル920が搭載され、メモリなどのデバイスとして機能する部品(不図示)が搭載されている。
受信回路910は、図4に示した第2の実施例におけるヒステリシス比較器511と同様の構成のヒステリシス比較器911を備えている。
先述したように、送信回路610は、送信データTxdataがローからハイに変化するときとハイからローに変化するときに正の極性でパルス状の電流ITを送信コイル620に流す。このパルス状の電流ITの極性が正と負に交互になるのではなく、常に正の極性である点である。その結果、受信信号VRのダブルパルス信号の極性が常に等しく、反転することはない。
受信コイル920に誘起される電圧信号VRは、電流ITを1階微分した、図15に示すようなダブルパルスの波形となる。
受信回路910は、受信コイル920の両端が接続される比較器例えばヒステリシス比較器911を有し、ヒステリシス比較器911の出力信号の立ち上がりエッジ(ローからハイへの変化時点)、もしくは、立ち下がりエッジ(ハイからローへの変化時点)のいずれか一方に応答して出力を反転させる順序回路としてのD型フリップフロップ(単に分周回路とも記す)を介して受信データRxdataを出力する。
ヒステリシス比較器511について説明したように、ヒステリシス比較器911は、例えば、pMOSトランジスタP1とnMOSトランジスタN1から成るCMOSインバータとpMOSトランジスタP2とnMOSトランジスタN2から成るCMOSインバータで増幅回路を構成すると共に、pMOSトランジスタP1に並列されたpMOSトランジスタP3とpMOSトランジスタP2に並列されたpMOSトランジスタP4とのゲートがCMOSインバータの出力端子にクロスカップルされて成るラッチ回路を有する。
ラッチ回路は保持しているデータに応じてインバータの閾値電圧を変化させる。例えば、ラッチ回路がローレベルの信号N1をラッチするとpMOSトランジスタP3の電流供給作用により、それぞれのCMOSインバータの論理閾値電圧よりも絶対値的に大きな反転入力がなければ出力が反転されず、見掛け上ヒステリシス比較器911の閾値電圧が高くなる。
ラッチデータが一旦反転されると、今度は、pMOSトランジスタP4の電流供給作用により、それぞれのCMOSインバータの論理閾値電圧よりも絶対値的に大きな反転入力がなければ出力が反転されず、見掛け上ヒステリシス比較器911の閾値電圧が低くなる。
このように、ヒステリシス比較器911は出力信号の論理値が反転する毎に、その入力閾値電圧が変化される。ヒステリシス比較器911は、図15に示すように、送信データTxdataが変化するたびに、パルス信号を出力する。
電圧信号VRの波形中に記載された破線はヒステリシス比較器911の閾値電圧を示す。このパルス信号の幅はおよそ0.5τである。このパルス信号の立ち上がりエッジもしくは立ち下がりエッジの一方に合わせて交互に反転するディジタルデータを分周回路が出力することによって、送信データが復元される。
上記の如く、受信回路910ではシングルパルス電流に応じて受信コイル920に誘起されたダブルパルスの電圧信号、たとえば凸凹の信号が誘起される。この電圧信号は、送信データTxdataの極性によらず、送信電流の方向と誘導結合の仕方で決まる。ヒステリシス比較器911は電圧信号を検出して、単一極性のシングルパルス信号、たとえば凸状のパルス信号を生成するが、この信号は送信データの極性によらず送信電流の方向と誘導結合の仕方で決まるから、ヒステリシス比較器911は信号を出力して受信データに変化があったことを検出するだけである。
このように受信回路910は、データの変化だけを送受信するから、データの最初が0か1かを予め送受信で取り決めしておかなければ受信データを復元できない。ヒステリシス比較器911の閾値電圧は、受信したダブルパルスの電圧信号の極性(凸凹か凹凸か)に応じて初期設定すべきで、送受信データの極性とは無関係である。ヒステリシス比較器911の差動段を対称に設計してもデバイスのばらつきなどで電源投入直後にヒステリシス比較器911の出力電圧や入力閾値がどちらになっているかは保障できない。
このことを保障するために、実施例3と同様に、リセット後の最初の送信データが0か1かを予め決めておけば良い。
実施例8
図16は本発明による実施例8を説明するための図である。
図16は、上述した実施例1ないし実施例7を用いた本発明による実施例8の基本構成を示す図である。
第1基板(チップ)には、第1送受信回路および第1コイルが搭載され、第3基板(チップ)には、第2送受信回路および第4コイルが搭載されている。各基板間に設けられる第2基板(インターポーザ)には、第1コイルとの間に通信チャネルを形成する第2コイル、第4コイルとの間に通信チャネルを形成する第3コイルがそれぞれ設けられている。
上述した実施例1ないし実施例7では、一方のチップからの送信信号が、インターポーザを介して他方のチップに伝送される例として説明した。これらは、説明を簡略するための例であり、送信回路または受信回路を、送受信回路とすることで、図16に示すような双方向の通信を行うことができる。
実施例9
図17ないし図19は、本発明による実施例9を説明するための図であり、図17は基本構成を示す図、図18は、図17中の第2基板(インターポーザ)の構成を示す図、図19は電気的特性を示す図である。
第1基板(チップ)には、第1送受信回路、第2送受信回路、および、第1コイル、第2コイルが搭載され、第3基板(チップ)には、第3送受信回路、第4送受信回路および第7コイル、第8コイルが搭載されている。各基板間に設けられる第2基板(インターポーザ)には、第1コイルとの間に通信チャネルを形成する第3コイル、第2コイルとの間に通信チャネルを形成する第4コイル、第7コイルとの間に通信チャネルを形成する第5コイル、第8コイルとの間に通信チャネルを形成する第6コイルがそれぞれ設けられ、第3コイルと第5コイル、第4コイルと第6コイルのそれぞれは接続されている。
上記の構成により、本実施例においては、第1送受信回路、第1コイル、第3コイル、第5コイル、第7コイル、第3送受信回路を経由する通信系と、第2送受信回路、第2コイル、第4コイル、第6コイル、第8コイル、第4送受信回路を経由する通信系の2系統が備えられることとなる。
例えば、第1基板と第3基板間にインターポーザの第2基板を挟む場合を考える。第1基板と第3基板の厚さを40μmとし、基板を積層実装する際の接着剤の厚さを10μmとする。
以下の説明では、図17に示す状態にて各基板が積層されているものとする。第1基板上に、第2基板、第3基板が順に積層され、第1送受信回路、第2送受信回路、第1コイル、第2コイルと第3コイル、第4コイルは第1基板と第2基板が接する面に形成され、第5コイル、第6コイルは第2基板と第3基板が接する面に形成され、第7コイル、第8コイル、第3送受信回路、第4送受信回路は第2基板と第3基板が接する面に形成されているものとする。
図18(a),(b)のそれぞれは、第2基板の各面に形成されるコイルを示し、図18(c)は、第2基板の構成を示す断面図である。図18(a),(b)に示すように、第2基板の両面には、配線1801〜1803によりコイルが形成され、両面の配線間はスルーホール1804で結線されている。
第1基板上の第1コイルと第2基板の下面に形成された第3コイルは、コイル間の距離が10μm程度になり、第2基板の上面に形成された第5コイルと第3基板の第7コイルは、コイル間の距離が50μmになる。
第1基板の第2コイルが、第2基板の第4コイルと第6コイルを介して、第3基板の第8コイルと結合して、第6コイルと第3コイルの中心軸が近いために両コイル間のクロストークが問題になる場合を想定する。
このとき、第3コイルは第2基板の下の面(第1基板と近い面)に形成され、第6コイルは第2基板の上の面(第3基板と近い面)に形成されているので、両コイル間の距離は、第2基板の両面の配線間の距離、すなわち第2基板の厚さにほぼ等しくなる。
ここで、コイル対が良好に通信するためには、コイルの半径を通信距離と同じかそれ以上にするのが典型的である。一方シミュレーションによると、図19に示すように、通信距離をコイルの半径の2倍に離すと、コイルの半径にした場合に比べて信号強度は20%程度に小さくなる。従って、半径の2倍かそれ以上(すなわち直径と同じ100μm)に距離を離せば、クロストークを抑えて誤動作を回避することが十分に可能になる。
すわなち、第2基板の両面を使って形成されたコイルの間の距離(第2基板基板の厚さ)を、コイルの直径(この場合は100μm)以上にすることで、クロストークを十分に低減できる。
実施例10
図20および図21は、本発明による実施例10を説明するための図であり、図20は基本構成を示す図、図21は、図20中の第2基板(インターポーザ)の構成を示す図である。
本実施例は、図20に示すように、図17に示した実施例9の構成に対して、磁界減衰用の磁界シールド膜を第6コイルと第3コイルの中間に配置するものであり、これにより、不要な誘導結合を大きく低減させ、一方で、必要とする誘導結合についてはあまり低減しないものである。
図21(a),(c)のそれぞれは、第2基板の各面に形成されるコイルを示し、図21(d)は、第2基板の構成を示す断面図である。図21(a),(c)に示すように、第2基板の両面には、配線2101〜2103によりコイルが形成され、両面の配線間はスルーホール2104で結線されている。
以上の第2基板の構成は、実施例9と同様であるが、本実施例では、図21(b)に示すように、第2基板の中間に磁界シールド膜として作用する金属膜2005が設けられている。
金属膜と第6コイル及び第3コイルの間の距離を25μm(すなわち第2基板の厚さをおよそ50μm)、第6コイルと第8コイルの間の距離を50μm、第6コイルや第3コイルの直径を100μm(通信させるための典型的なサイズ)として電磁界解析シミュレーションを行うと、金属膜を挿入したことで、誘導結合させたくない第6コイルと第3コイルの結合を0.05に低減できる一方で、誘導結合させたい第6コイルと第8コイルと第8コイルの結合を0.8の減少に抑えることができることが確認されている。
さらに、磁界減衰用の金属膜は、送受信コイルと比べて、一回り大きいサイズのときに、信号対雑音比が最大になることも、電磁界解析シミュレーションで確認できている。
従って両面とその中間の3つの配線層を有する第2基板を用いて中間層にコイルより一回り大きいサイズの金属膜を配置することで両コイルの間の結合を低減してクロストークを抑えることができる。
なお、上述した実施例では、基板が順に積層される構成のものについて説明したが、第2基板(インターポーザ)上に第1基板および第3基板を並設する構成としてもよい。
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
本発明による実施例1の要部構成を示す図である。 実施例1の動作波形を示す図である。 (a)、(b)のそれぞれは、図1中のインターポーザ200のレイアウト例を示す図である。 本発明による実施例2の要部構成を示す図である。 実施例2の動作波形を示す図である。 本発明による実施例3の要部構成を示す図である。 実施例3の動作波形を示す図である。 本発明による実施例4を説明するための図であり、(a)はインターポーザの近似的な等価回路とトランスインピーダンスの理論式を示し、(b)は、周波数に対するトランスインピーダンスの計算結果を示す図である。 実施例4によるインターポーザの構成を示す回路図である。 本発明による実施例5の要部構成を示す図である。 実施例5の動作波形を示す図である。 本発明による実施例6の要部構成を示す図である。 実施例6の動作波形を示す図である。 本発明による実施例7の要部構成を示す図である。 実施例7の動作波形を示す図である。 本発明による実施例8を説明するための図である。 本発明による実施例9の基本構成を示す図である。 図17中の第2基板(インターポーザ)の構成を示す図である。 実施例9の電気的特性を示す図である。 本発明による実施例10の基本構成を示す図である。 図20中の第2基板(インターポーザ)の構成を示す図である。
符号の説明
100,300 チップ
110 送信回路
120 送信コイル
200 インターポーザ
210,220 送受信コイル
310 受信回路
320 受信コイル

Claims (2)

  1. 配線により形成されて信号を送信する第1コイルと、配線により形成されて信号を受信する第2コイルと、該第1コイルに接続されて第1コイルへ信号を出力する第1送信回路と、前記第2コイルに接続されて該第2コイルから信号を入力する第1受信回路とを有する第1基板と、
    前記第1基板に近い第1面に形成された配線により前記第1コイルと対応する位置に形成されて第1コイルとの間で通信チャネルを構成して信号を受信する第3コイルと、前記第1面に形成された配線により前記第2コイルと対応する位置に形成されて第2コイルとの間で通信チャネルを構成して信号を送信する第4コイルと、基板上の前記第1面の配線により前記第3コイルと接続されて信号を送信する第5コイルと、前記第1面の配線により前記第4コイルと接続されて信号を受信する第6コイルとを有する第2基板と、
    配線により前記第5コイルと対応する位置に形成されて第5コイルとの間で通信チャネルを構成して信号を受信する第7コイルと、該第7コイルに接続されて第7コイルから信号を入力する第2受信回路と、前記第6コイルと対応する位置に形成されて第6コイルとの間で通信チャネルを構成して信号を送信する第8コイルと、該第8コイルに接続されて第8コイルへ信号を出力する第2送信回路とを有する第3基板と、
    を備え、
    前記第1基板および前記第3基板は前記第2基板上に並設され、
    前記第3コイル前記第6コイル間の誘導結合、前記第4コイルと前記第5コイルの間の誘導結合が十分に小さくなるように前記第3コイルと前記第6コイルの間および、前記第4コイルと前記第5コイルの間の距離のそれぞれが、前記第3コイル、前記第4コイル、前記第5コイル、前記第6コイルの最も大きな直径よりも大きな距離とされることを特徴とする電子回路。
  2. 配線により形成されて信号を送受信する第1コイルと第2コイルと、該第1コイルに接続されて第1コイルとの間で信号を入出力する第1送受信回路と、前記第2コイルに接続されて該第2コイルとの間で信号を入出力する第2送受信回路とを有する第1基板と、
    前記第1基板に近い第1面に形成された配線により前記第1コイルと対応する位置に形成されて第1コイルとの間で通信チャネルを構成して信号を送受信する第3コイルと、前記第1面に形成された配線により前記第2コイルと対応する位置に形成されて第2コイルとで通信チャネルを構成して信号を送受信する第4コイルと、基板上の前記第1面の配線により前記第3コイルとスルーホールで接続されて信号を送受信する第5コイルと、前記第1面の配線により前記第4コイルとスルーホールで接続されて信号を送受信する第6コイルとを有する第2基板と、
    配線により前記第5コイルと対応する位置に形成されて第5コイルとの間で通信チャネルを構成して信号を送受信する第7コイルと、該第7コイルに接続されて第7コイルとの間で信号を入出力する第3送受信回路と、前記第6コイルと対応する位置に形成されて第6コイルとの間で通信チャネルを構成して信号を送受信する第8コイルと、該第8コイルに接続されて第8コイルとの間で信号を入出力する第4送受信回路とを有する第3基板と、
    を備え、
    前記第1基板および前記第3基板は前記第2基板上に並設され、
    前記第3コイル前記第6コイル間の誘導結合、前記第4コイルと前記第5コイルの間の誘導結合が十分に小さくなるように前記第3コイルと前記第6コイルの間および、前記第4コイルと前記第5コイルの間の距離のそれぞれが、前記第3コイル、前記第4コイル、前記第5コイル、前記第6コイルの最も大きな直径よりも大きな距離とされることを特徴とする電子回路。
JP2008270752A 2008-10-21 2008-10-21 電子回路 Active JP5433199B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008270752A JP5433199B2 (ja) 2008-10-21 2008-10-21 電子回路
PCT/JP2009/065647 WO2010047187A1 (ja) 2008-10-21 2009-09-08 電子回路
US13/125,285 US8704609B2 (en) 2008-10-21 2009-09-08 Electronic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008270752A JP5433199B2 (ja) 2008-10-21 2008-10-21 電子回路

Publications (3)

Publication Number Publication Date
JP2010103611A JP2010103611A (ja) 2010-05-06
JP2010103611A5 JP2010103611A5 (ja) 2012-11-15
JP5433199B2 true JP5433199B2 (ja) 2014-03-05

Family

ID=42119234

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008270752A Active JP5433199B2 (ja) 2008-10-21 2008-10-21 電子回路

Country Status (3)

Country Link
US (1) US8704609B2 (ja)
JP (1) JP5433199B2 (ja)
WO (1) WO2010047187A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010076671A1 (en) * 2009-01-05 2010-07-08 Freescale Semiconductor, Inc. Current sensing circuitry and integrated circuit and method for sensing a current
JP5496541B2 (ja) * 2009-04-20 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置
JP2013005252A (ja) * 2011-06-17 2013-01-07 Elpida Memory Inc 通信装置
EP2645589B1 (en) * 2012-03-30 2015-05-27 Renesas Electronics Corporation Receiver and semiconductor integrated circuit having the same
KR102048443B1 (ko) 2012-09-24 2020-01-22 삼성전자주식회사 근거리 무선 송수신 방법 및 장치
JP5875704B2 (ja) * 2012-12-04 2016-03-02 三菱電機株式会社 信号伝達回路
KR20140072612A (ko) * 2012-12-05 2014-06-13 삼성전자주식회사 이동 단말의 커플러 구조 및 이를 포함하는 이동 단말
EP2802085B1 (de) * 2013-05-10 2016-08-10 Albert Handtmann Maschinenfabrik GmbH & Co. KG Verbinder zwischen zwei Geräten zur Lebensmittelherstellung
JP5749366B2 (ja) * 2014-03-05 2015-07-15 ルネサスエレクトロニクス株式会社 半導体装置
JP6475817B2 (ja) * 2015-02-19 2019-02-27 株式会社PEZY Computing 信号処理装置
US10505589B2 (en) 2015-02-19 2019-12-10 Pezy Computing K.K. Signal bridge device
EP3279938B1 (en) * 2015-03-30 2020-03-11 Pezy Computing K.K. Semiconductor device
JP6062486B2 (ja) * 2015-05-13 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置
JP7019107B1 (ja) * 2021-03-08 2022-02-14 三菱電機株式会社 信号絶縁回路

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05128324A (ja) 1991-11-07 1993-05-25 Mitsubishi Electric Corp 非接触カード、非接触カード用端末機及び非接触伝送システム
JP2000137779A (ja) * 1998-10-30 2000-05-16 Hitachi Maxell Ltd 非接触情報媒体とその製造方法
DE20209610U1 (de) 2001-11-03 2002-11-14 Goros, Jean, 85540 Haar Schutzhülle für mobile elektronische Kleingeräte
FR2832272B1 (fr) * 2001-11-09 2004-09-24 Commissariat Energie Atomique Dispositif passif d'accroissement de l'efficacite de transmission de systemes radiofrequence
JP4478366B2 (ja) * 2001-12-11 2010-06-09 ソニー株式会社 非接触通信システム
JP2005347286A (ja) * 2002-05-29 2005-12-15 Ajinomoto Co Inc コイル内蔵多層基板、半導体チップ、及びそれらの製造方法
JP3982476B2 (ja) * 2003-10-01 2007-09-26 ソニー株式会社 通信システム
JP4131544B2 (ja) * 2004-02-13 2008-08-13 学校法人慶應義塾 電子回路
JP4193060B2 (ja) 2004-06-04 2008-12-10 学校法人慶應義塾 電子回路
JP4677598B2 (ja) 2004-08-05 2011-04-27 学校法人慶應義塾 電子回路
JP4752369B2 (ja) * 2004-08-24 2011-08-17 ソニー株式会社 半導体装置および基板
JP4124365B2 (ja) 2004-08-24 2008-07-23 学校法人慶應義塾 電子回路
JP5024740B2 (ja) 2004-09-30 2012-09-12 学校法人慶應義塾 Lsiチップ試験装置
JP2006173986A (ja) * 2004-12-15 2006-06-29 Keio Gijuku 電子回路
JP2006173415A (ja) 2004-12-16 2006-06-29 Keio Gijuku 電子回路
JP4639857B2 (ja) * 2005-03-07 2011-02-23 富士ゼロックス株式会社 Rfidタグが取り付けられた物品を収納する収納箱、その配置方法、通信方法、通信確認方法および包装構造。
JP4677845B2 (ja) * 2005-07-27 2011-04-27 凸版印刷株式会社 搬送制御管理システムおよびアダプタコイル
JP4289338B2 (ja) * 2005-09-29 2009-07-01 沖電気工業株式会社 Rfidタグ通信システム、rfidタグ及び誘導アンテナ装置
JP5671200B2 (ja) * 2008-06-03 2015-02-18 学校法人慶應義塾 電子回路
JP2011233842A (ja) * 2010-04-30 2011-11-17 Toshiba Corp 不揮発性半導体記憶装置

Also Published As

Publication number Publication date
WO2010047187A1 (ja) 2010-04-29
US20110260786A1 (en) 2011-10-27
US8704609B2 (en) 2014-04-22
JP2010103611A (ja) 2010-05-06

Similar Documents

Publication Publication Date Title
JP5433199B2 (ja) 電子回路
JP5671200B2 (ja) 電子回路
JP4131544B2 (ja) 電子回路
CN100422971C (zh) 适于快速信号传输的信号传输装置
Dehlaghi et al. A 0.3 pJ/bit 20 Gb/s/wire parallel interface for die-to-die communication
KR101548780B1 (ko) 전자 회로
WO2007029435A1 (ja) 伝送方法、インターフェース回路、半導体装置、半導体パッケージ、半導体モジュールおよびメモリモジュール
US20060290377A1 (en) Capacitively coupled pulsed signaling bus interface
JP2010103611A5 (ja)
WO2021106777A1 (ja) 情報処理装置
US8242811B2 (en) High-bandwidth on-chip communication
CN101441606B (zh) 高速/高频差分信号传输中使用的电磁耦合器的无部件终端
Kosuge et al. Proximity wireless communication technologies: An overview and design guidelines
Xu et al. 2.8 Gb/s inductively coupled interconnect for 3D ICs
WO2011033921A1 (ja) 集積回路
Takeya et al. A 12-Gb/s non-contact interface with coupled transmission lines
Lee et al. A compact single-ended inverter-based transceiver with swing improvement for short-reach links
Kim et al. A 5.6-mW 1-Gb/s/pair pulsed signaling transceiver for a fully AC coupled bus
Kosuge et al. A 12.5 Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and dicode partial-response channel transceivers
Kosuge et al. A 6 Gb/s 6 pJ/b 5 mm-distance non-contact interface for modular smartphones using two-fold transmission line coupler and high EMC tolerant pulse transceiver
JP5616813B2 (ja) 電子回路
Fletcher et al. Low-power 3D integration using inductive coupling links for neurotechnology applications
US9431168B2 (en) Contactless interconnect
US11831153B1 (en) High-bandwidth signal driver/receiver
Kim et al. 16 Design of High-Speed

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131209

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5433199

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250