JP4887552B2 - Lsiチップのレイアウト設計方法 - Google Patents

Lsiチップのレイアウト設計方法 Download PDF

Info

Publication number
JP4887552B2
JP4887552B2 JP2000202479A JP2000202479A JP4887552B2 JP 4887552 B2 JP4887552 B2 JP 4887552B2 JP 2000202479 A JP2000202479 A JP 2000202479A JP 2000202479 A JP2000202479 A JP 2000202479A JP 4887552 B2 JP4887552 B2 JP 4887552B2
Authority
JP
Japan
Prior art keywords
boundary scan
scan register
cell
layout design
design method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000202479A
Other languages
English (en)
Other versions
JP2002026129A (ja
Inventor
聖子 大崎
康治 阿部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2000202479A priority Critical patent/JP4887552B2/ja
Priority to US09/789,490 priority patent/US6564362B2/en
Publication of JP2002026129A publication Critical patent/JP2002026129A/ja
Application granted granted Critical
Publication of JP4887552B2 publication Critical patent/JP4887552B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11898Input and output buffer/driver structures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • G01R31/318538Topological or mechanical aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、バウンダリスキャンレジスタを有するLSIチップのレイアウト設計方法に関し、特にバウンダリスキャンレジスタの配置およびファンアウト調整を自動的におこなう方法に関する。
【0002】
【従来の技術】
従来、バウンダリスキャンレジスタを有するLSIチップのレイアウト設計では、テスト信号の逆流等による動作不良が起こらないようにするため、I/Oセルに接続される多数のバウンダリスキャンレジスタを、バウンダリスキャンレジスタに対するテスト信号のネットの交差が生じないように配置しておく必要がある。
【0003】
また、配線終了後に容量オーバーやタイミングエラーが生じるのを防ぐため、テスト制御回路とバウンダリスキャンレジスタとのつながりにおいて、容量が出力端子の駆動能力を超えないようにするためのファンアウト調整を配線前におこなう必要がある。そのファンアウト調整において、LSIの面積や配線混雑度を抑えるため、最小限の数のバッファセルを最適位置に配置する必要がある。
【0004】
このバウンダリスキャンレジスタの配置は、市販のテスト回路合成ツールを用いて自動的におこなわれている。このツールによれば、バウンダリスキャンレジスタはLSIの内部ロジック回路のセルに引き付けられて配置される。また、ファンアウト調整に関して、上述したツールは、容量オーバーやタイミングエラーが生じないような過大なファンアウト数を決め、それに基づいて一律にファンアウト調整をおこなうようになっている。
【0005】
図13は、従来のテスト回路合成ツールによるバウンダリスキャンレジスタの自動配置結果を模式的に示す図であり、同図において、符号1はLSI全体、符号11はI/O領域、符号12を付した□(四角)のマークは、I/Oセルに接続されるI/O接続バウンダリスキャンレジスタ、符号13を付した◇(菱形)のマークは、I/O接続バウンダリスキャンレジスタ12に接続される出力I/O制御バウンダリスキャンレジスタである。
【0006】
【発明が解決しようとする課題】
上述した従来のバウンダリスキャンレジスタの配置方法では、I/O接続バウンダリスキャンレジスタ12を内部ロジック回路のセルに引き付けて配置するため、図13に示すように、I/O接続バウンダリスキャンレジスタ12がLSI全体1に分散してしまい、テスト信号の流れとは無関係に配置されることになる。そのため、バウンダリスキャンレジスタに対するテスト信号のネットに交差が生じてしまい、テスト信号の逆流等による動作不良が起こることがあるという問題点が生じる。
【0007】
また、上述した従来のファンアウト調整方法では、過大なファンアウト数を設定するため、過大な数のバッファが挿入されてしまう。それによって、LSIの面積増や、配線混雑度の増大という不都合を招くという問題点がある。
【0008】
本発明は、上記問題点に鑑みてなされたものであって、バウンダリスキャンレジスタを適切な位置に自動的に配置させることができるとともに、テスト制御回路とバウンダリスキャンレジスタとの間のファンアウト調整を、最小限の数のバッファの挿入によりおこなうことができるLSIチップのレイアウト設計方法、およびその方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体を提供することを目的とする。
【0009】
【課題を解決するための手段】
上記目的を達成するため、本発明にかかるLSIチップのレイアウト設計方法は、バウンダリスキャンレジスタを有するLSIチップのレイアウト設計において、I/Oセルを配置した後、内部ロジック回路などの配置をおこなう前に、I/Oセルの近傍の空き領域にI/O接続バウンダリスキャンレジスタおよび出力I/O制御バウンダリスキャンレジスタを優先的に配置し、テスト制御回路につながるバウンダリスキャンレジスタに対するテスト信号のネット中にバッファセルを挿入することによって、ファンアウト調整をおこなうことを特徴とする。
【0010】
この発明によれば、I/Oセルの配置後にI/O接続バウンダリスキャンレジスタの配置が優先的におこなわれるため、I/Oセルの配列に沿ってその近傍にI/O接続バウンダリスキャンレジスタを配置させることができる。
【0011】
この発明において、出力I/O制御バウンダリスキャンレジスタは、I/O接続バウンダリスキャンレジスタ同士の中間点に配置される。その中間点が、I/O接続バウンダリスキャンレジスタの配置位置よりもチップ内部寄りであって、内部ロジック回路などの配置に支障がある場合には、出力I/O制御バウンダリスキャンレジスタを、その中間点により近い側のチップ辺に寄せて配置する。
【0012】
このようにすれば、出力I/O制御バウンダリスキャンレジスタがI/O接続バウンダリスキャンレジスタ同士の中間点に配置されるため、出力I/O制御バウンダリスキャンレジスタの配置の偏りを防止することができるとともに、バウンダリスキャンレジスタ間のネットをテスト信号の流れる方向に整然と配置させることができる。また、前記中間点がチップの内部寄りに位置する場合、出力I/O制御バウンダリスキャンレジスタはその中間点により近い側のチップ辺に寄せて配置されるため、チップ内部に配置される内部ロジック回路などの配置の妨げとなるのを防ぐことができる。
【0013】
また、上記発明において、ファンアウト調整をおこなう際に、隣り合うバウンダリスキャンレジスタのマンハッタン長に基づいて各バウンダリスキャンレジスタ間の配線長を計算し、その見積もり配線長に基づいて配線容量を見積もり、その見積もり配線容量に基づいて、テスト信号のネット中にバッファセルを挿入する。また、見積もり配線長と実際の配線長との差を補正するための係数を用いて、挿入するバッファセルの出力端子の駆動能力を補正する構成としてもよい。また、バッファセルの挿入位置は、そのバッファセルが駆動するバウンダリスキャンレジスタ群のうち、もっともテスト制御回路寄りに配置されたバウンダリスキャンレジスタの近傍である。
【0014】
このようにすれば、実際の配線容量を見積もることができ、その見積もり配線容量に基づいてバッファセルの挿入箇所が決まるため、配線前に最小限の数のバッファセルでもってファンアウト調整をおこなうことができる。また、補正係数を用いることによって、より一層実際の配線に近い条件でファンアウト調整をおこなうことができるので、実際の配線後に容量オーバーとなるのをより確実に防ぐことができる。また、バッファセルがもっともテスト制御回路寄りに配置されたバウンダリスキャンレジスタの近傍に配置されるため、タイミングエラーなどが起こるのを防ぐことができる。
【0015】
【発明の実施の形態】
以下に、本発明の実施の形態について図面を参照しつつ詳細に説明する。まず、本発明にかかるLSIチップのレイアウト設計方法の一例の手順全体について説明する。
【0016】
(レイアウト設計方法の全体の流れ)
図1は、本発明にかかるLSIチップのレイアウト設計方法の一例の手順を示すフローチャートである。図1のフローチャートにおいて、LSIチップのレイアウト設計を開始すると、まずチップの辺に沿ってI/Oセルを配置する(ステップS1)。つづいて、配置されたI/Oセルに接続されるI/O接続バウンダリスキャンレジスタを、接続対象であるI/Oセルの近傍の空き領域に配置する(ステップS2)。つづいて、複数のI/O接続バウンダリスキャンレジスタに接続される出力I/O制御バウンダリスキャンレジスタを、接続対象であるI/O接続バウンダリスキャンレジスタ間の中間点に配置する(ステップS3)。
【0017】
ステップS3につづいて、バウンダリスキャンレジスタのファンアウト調整をおこなう(ステップS4)。その後に内部ロジック回路など、その他の回路を構成するセルの自動配置をおこない(ステップS5)、さらに自動配線をおこなって(ステップS6)レイアウト設計を終了する。
【0018】
(I/Oセル・バウンダリスキャンレジスタの配置(ステップS1〜S3))
図2〜図5は、それぞれ、本発明にかかるLSIチップのレイアウト設計方法を説明するための模式図である。図2において、符号2はLSI全体、符号21はI/Oセルの配列よりなるI/O領域、符号22を付した□(四角)のマークは、I/Oセルに接続されるI/O接続バウンダリスキャンレジスタ、符号23を付した◇(菱形)のマークは、I/O接続バウンダリスキャンレジスタ22に接続される出力I/O制御バウンダリスキャンレジスタである。すなわち、図2には、図1で示したステップS1〜S3までの状態が示されている。
【0019】
図2において、I/O領域21の各I/OセルとI/O接続バウンダリスキャンレジスタ22との間の矢印(↑、→、↓および←)24は、I/Oセル−I/O接続バウンダリスキャンレジスタ22間のネットを示し、各バウンダリスキャンレジスタ22,23間をつなぐ線25は、各バウンダリスキャンレジスタ間のテスト信号のネットを示す。以上のマークや矢印や線などの表示は、図4および図5においても同じである。また、図2において、チップ2内を巡回するように記された大きな矢印を有する線26は、テスト信号の流れの向きを表している。
【0020】
ここで、I/O接続バウンダリスキャンレジスタ22の配置位置を決定するにあたって、配置位置の検索をおこなう。これは、I/O接続バウンダリスキャンレジスタ22が接続するI/Oセルの近傍に、すでに電源配線や他のI/O接続バウンダリスキャンレジスタ22が配置されている場合、それらを避けてつぎに接続対象のI/Oセルに近い配置箇所を見つけるためである。
【0021】
具体的には、図3に示すように、接続対象のI/Oセルの近傍を検索開始点27(図3の○(丸)印)とし、そこからチップ内部に向かって矢印を有する線28で示すように半円の渦巻状に空き領域を検索する。この検索方法は、出力I/O制御バウンダリスキャンレジスタ23の配置位置を検索する場合も同様であり、その場合には、接続対象であるI/O接続バウンダリスキャンレジスタ22間の中間点を検索開始点27(図3の○印)とする。
【0022】
また、図4に示すように、出力I/O制御バウンダリスキャンレジスタ23の接続対象である複数のI/O接続バウンダリスキャンレジスタ22a,22bが異なるチップ辺に沿って配置されている場合、その中間点29(図4の○印)はI/O接続バウンダリスキャンレジスタ22,22a,22bの配置位置よりもチップの内側に位置することになる。このような場合には、出力I/O制御バウンダリスキャンレジスタ23を、図4の矢印30で示すように、その中間点29により近い側のチップ辺側に寄せて配置する。その際、座標に基づいて、いずれのチップ辺がより中間点29に近いか判断する。このように配置位置をずらすことによって、内部ロジック回路等の配置の妨げとなるのを防ぐことができる。
【0023】
出力I/O制御バウンダリスキャンレジスタ23を、接続対象である複数のI/O接続バウンダリスキャンレジスタ22間の中間点に配置しない場合には、図5に示すように、その配置が偏ってしまう。これは、バウンダリスキャンレジスタ間のネット(図5において符号25で示す)がテスト信号の流れる方向に整然と並ばないため、好ましくない。なお、図5において、I/O領域21の各I/Oセルと出力I/O制御バウンダリスキャンレジスタ23とを結ぶ線31は、出力I/O制御のネットを示す。
【0024】
(ファンアウト調整(ステップS4))
つぎに、ステップS4のファンアウト調整の方法について詳細に説明する。図6は、本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整方法の一例の手順を示すフローチャートである。
【0025】
図6のフローチャートにおいて、まず、見積もり配線長および配線容量を計算する(ステップS41)。すなわち、配置された各バウンダリスキャンレジスタ間の配線長を見積もり、その見積もり配線長に基づいて配線容量を見積もる。具体的な方法については、図7を用いて後述する。
【0026】
ステップS41につづいて、テスト制御回路側のバウンダリスキャンレジスタから、その容量の評価をおこなう(ステップS42)。そして、収束済みバウンダリスキャンレジスタの個数iにゼロをセット(代入)する(ステップS43)。ここで、iはテスト制御回路側からつながるバウンダリスキャンレジスタの順番も意味するものである。そして、評価するバウンダリスキャンレジスタの個数nに1をセット(代入)する(ステップS44)。つづいて、最終のバウンダリスキャンレジスタに到達したか否かの判定を経て(ステップS45)、つぎの(1)式を満たすか否かの判定をおこなう(ステップS46)。
【0027】
[Drv]×[ratio]×α>[NetC]+[BsrL]+[BufL]・・・(1)
【0028】
この式において、Drvは挿入するセルの出力端子駆動能力、ratioは駆動能力に対する負荷許容量、αは見積もり配線長と実際の配線長とのずれを考慮した補正係数でありゼロより大きく1以下の数値である。また、NetCはテスト制御回路側からi+1番目〜i+n番目のバウンダリスキャンレジスタの配線容量の和、BsrLはテスト制御回路側からi+1番目〜i+n番目のバウンダリスキャンレジスタの入力負荷の和、BufLは次段の挿入バッファセルの入力負荷の和である。
【0029】
ここで、補正係数αを用いる理由について説明する。配線長の見積もりは、各バウンダリスキャンレジスタの配置座標に基づいてその最短経路を求めることにより得られる。それに対して、実際の配線では、セルの混雑や配線禁止領域の影響などにより配線が回り込むことがあり、それによって実際の配線長は見積もり配線長よりも長くなる。したがって、実際の配線容量は見積もり配線容量よりも大きくなる。これを補正して実際の配線により近い状態でファンアウト調整をおこなうため、補正係数αが必要となる。
【0030】
補正係数αは、たとえば既存の複数種類のLSIチップについて、その実際の配線長と上述したマンハッタン長に基づく見積もり配線長とのずれを求め、その分析により数値的にある一定の傾向があることを見いだすことによって、求められる。具体的な方法については、図8を用いて後述する。
【0031】
つぎに、ステップS46において、前記(1)式を満たす場合(ステップS46:Yes)には、nを1だけインクリメントして(ステップS47)、つぎのバウンダリスキャンレジスタも評価に加える。そして、ステップS45に戻り、最終のバウンダリスキャンレジスタに到達している場合(ステップS45:Yes)、ファンアウト調整を終了する。したがって、図1に示したステップS5へ移行することになる。
【0032】
一方、最終のバウンダリスキャンレジスタに到達していない場合(ステップS45:No)、ステップS46へ進む。ステップS46において、前記(1)式を満たす場合(ステップS46:Yes)には、再びnを1だけインクリメントして(ステップS47)、ステップS45に戻り、さらにつぎのバウンダリスキャンレジスタも評価に加える。
【0033】
前記(1)式を満たさない場合(ステップS46:No)には、このバウンダリスキャンレジスタの手前のバウンダリスキャンレジスタまで収束したことになる。したがって、nを1だけデクリメントして、バウンダリスキャンレジスタの収束個数を1個減らす(ステップS48)。そして、i番目のバウンダリスキャンレジスタからn個分収束されるように論理回路の論理構成を変更し(ステップS49)、さらに、iにi+nを代入し(ステップS50)、その後、ステップS44に戻る。これ以降、ステップS45において最終のバウンダリスキャンレジスタに到達し、ファンアウト調整が終了するまで、同様の処理(ステップS44〜ステップS50の各処理)を繰り返す。
【0034】
図7は、それぞれ、本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整方法を説明するための模式図である。図6に示したフローチャートのステップS41において、配置された各バウンダリスキャンレジスタ間の配線長を見積もり、その見積もり配線長に基づいて配線容量を見積もる際、たとえば図7に示すように、隣り合うバウンダリスキャンレジスタ41,42,43に対し、それぞれの配置座標に基づいて最短となる組合せを選択し、その時のマンハッタン長を見積もり配線長とする。なお、図7において、#1〜#6はバウンダリスキャンレジスタの端子であり、#2と#3と#5の端子を結ぶ線44は見積もり配線経路を表している。
【0035】
図8は、それぞれ、本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整方法を説明するための模式図である。上述のとおり、ステップS46において、補正係数αは、たとえば既存の複数種類のLSIチップについて、その実際の配線長と上述したマンハッタン長に基づく見積もり配線長とのずれを求め、その分析により数値的にある一定の傾向があることを見いだすことによって求められるが、その際、たとえば図8に示すように、縦長のバウンダリスキャンレジスタ51,52に対して配線53が縦方向に回り込んだ場合(符号54で示す部分)と、横方向に回り込んだ場合(符号55で示す部分)とでは、見積もり配線長に含まれない配線部分(図8の矢印部分)の長さが異なる。バウンダリスキャンレジスタが横長の場合も同様である。
【0036】
したがって、配線が縦方向に回り込む場合の補正係数βと横方向に回り込む場合の補正係数γを設定し、その2つの補正係数β,γを用いて全体の補正係数αをつぎの(2)式より求める。
【0037】
α=(Nh/(Nh+Nv))×γ+(Nv/(Nh+Nv))×β ・・・(2)
【0038】
この式において、Nhは水平方向(横方向、X方向)に並ぶバウンダリスキャンレジスタの数であり、Nvは垂直方向(縦方向、Y方向)に並ぶバウンダリスキャンレジスタの数である。なお、バウンダリスキャンレジスタの並ぶ方向については、2つのバウンダリスキャンレジスタ間の距離に関して、X方向長がY方向長よりも長い場合(X方向長>Y方向長)には水平方向並びであり、Y方向長がX方向長よりも長い場合(Y方向長>X方向長)には垂直方向並びであると判断する。
【0039】
図9は、バウンダリスキャンレジスタを配置した後、ファンアウト調整をおこなう前のバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットを示す説明図である。また、図10は、ファンアウト調整後のバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットを示す説明図である。
【0040】
なお、図9〜図10において、.CDRはデータ・レジスタのキャプチャ・クロック端子、.TDIはシリアル・テストデータ入力端子、.TDOはシリアル・テストデータ出力端子、.Aおよび.Xはそれぞれバッファセルの入力端子および出力端子である。
【0041】
図9および図10において、符号61〜68を付したB1〜BZはそれぞれバウンダリスキャンレジスタである。それらバウンダリスキャンレジスタ61〜68は、B1からBZに向かうチェイン方向でテスト制御回路60に接続されている。
【0042】
図9に示すように、ファンアウト調整前は、すべてのバウンダリスキャンレジスタ61〜68のキャプチャ・クロック(.CDR)端子は一つのネット(NET1)71に属している。このネット71は、テスト制御回路60のデータ・レジスタのキャプチャ・クロック(.CDR)端子に接続されている。ファンアウト調整後は、図10に示すように、バウンダリスキャンレジスタ61〜68の各キャプチャ・クロック端子につながるネットは、ネット中の最適位置にそれぞれ挿入された複数のバッファセル(INST1,INST2,INST3)81,82,83により複数のネット(NET1,NET2,NETn)72,73,74に分割されている。
【0043】
図10に示す例では、第1のバッファセル(INST1)81は、第1のネット(NET1)72に属するBZ、BYおよびBXのバウンダリスキャンレジスタ68,67,66の各キャプチャ・クロック端子を駆動する。第1のバッファセル(INST1)81は、バウンダリスキャンレジスタのチェイン最後尾に配置されたBZのバウンダリスキャンレジスタ68の近傍に挿入される。
【0044】
また、第2のバッファセル(INST2)82は、第2のネット(NET2)73に属するBWおよびB4のバウンダリスキャンレジスタ65,64の各キャプチャ・クロック端子を駆動する。第2のバッファセル(INST2)82は、駆動対象であるバウンダリスキャンレジスタのうちもっともテスト制御回路60に近いBWのバウンダリスキャンレジスタ65の近傍に挿入される。
【0045】
同様に、第3のバッファセル(INST3)83は、第nのネット(NETn)74に属するB3,B2およびB1のバウンダリスキャンレジスタ63,62,61の各キャプチャ・クロック端子を駆動する。第3のバッファセル(INST3)83は、駆動対象であるバウンダリスキャンレジスタのうちもっともテスト制御回路60に近いB3のバウンダリスキャンレジスタ63の近傍に挿入される。これら第1〜第3のバッファセル(INST1,INST2,INST3)81,82,83は、この順で、バウンダリスキャンレジスタのチェイン最後尾側から挿入される。
【0046】
比較として、図11には、バッファセルが最適位置に配置されていない状態でのバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットが示されている。図11では、複数のバッファセル(INST1,INST2,INST3)91,92,93の各配置位置は、図10に関連して説明したような最適位置、すなわち駆動対象であるバウンダリスキャンレジスタ群のうちもっともテスト制御回路60に近いバウンダリスキャンレジスタの近傍になっていない。そのため、ネットに長い配線が生じ、容量オーバーやタイミングエラーを発生させる原因となってしまうので、好ましくない。
【0047】
上述したレイアウト設計方法をコンピュータに実行させるためのプログラムは、コンピュータ読み取り可能な記録媒体に記録され、コンピュータを用いた計算機援用設計装置(以下、CAD装置とする)により実現される。このプログラムは、ハードディスク、フロッピーディスク、CD−ROM、MO、DVD等のコンピュータで読み取り可能な記録媒体に記録され、コンピュータによって記録媒体から読み出されることによって実行される。またこのプログラムは、伝送媒体として、または、上記記録媒体を介して、インターネット等のネットワークを介して配布することができる。
【0048】
(CAD装置の構成)
図12は、上述したレイアウト設計方法の実施に供されるCAD装置の一例の構成を示すブロック図である。このCAD装置は、たとえばCPU101、ROM102、RAM103、HDD(ハードディスクドライブ)104、FDD(フロッピーディスクドライブ)106、ディスプレイ108、通信インターフェイス(I/F)109、キーボード111、マウス等(種々のポインティング・デバイスを含む)112、スキャナ113、プリンタ114およびCD−ROMドライブ116がバス100を介して相互に接続された構成となっている。
【0049】
上述したレイアウト設計方法をコンピュータに実行させるためのプログラムは、FD107やCD−ROM115に記録される。また、FD107やCD−ROM115に記録されたプログラムはHD105に格納されて実行される。
【0050】
CPU101は装置全体の制御をおこなう。ROM102はブートプログラム等を記億している。RAM103はCPU101のワークエリアとして使用される。HDD(ハードディスクドライブ)104は、CPU101の制御にしたがってHD(ハードディスク)105に対するデータの書き込みおよび読み出しを制御する。FDD(フロッピーディスクドライブ)106は、CPU101の制御にしたがって、着脱可能な記録媒体であるFD(フロッピーディスク)107に対するデータの書き込みおよび読み出しを制御する。
【0051】
ディスプレイ108は、カーソル、アイコンあるいはツールボックスをはじめ、文書、画像、機能情報等のデータに関するウインドウ(ブラウザ)を表示する。通信インターフェイス(I/F)109は、有線または無線の通信回線110を介してネットワーク150に接続され、ネットワーク150と内部とのインターフェイスを司る。
【0052】
キーボード111は、文字、数値、各種指示等の入力のための複数のキーを備える。マウス等112は、カーソルの移動や範囲選択、あるいはウインドウの移動やサイズの変更、アイコンの選択、移動等をおこなうのに使用される。スキャナ113は画像を光学的に読み取るための装置である。プリンタ114は、ウインドウに表示された内容等を印刷する。CD−ROMドライブ116は、着脱可能な記録媒体であるCD−ROM115に対するデータの読み出しを制御する。
【0053】
上述した実施の形態によれば、I/Oセルの配置後にI/O接続バウンダリスキャンレジスタ22を優先的に配置し、そのI/O接続バウンダリスキャンレジスタ22の配置に基づいて出力I/O制御バウンダリスキャンレジスタ23を配置し、さらにその後にバウンダリスキャンレジスタ間の配線長を見積もって、ファンアウト調整をおこなうため、バウンダリスキャンレジスタ22,23をI/Oの配列に沿ってその近傍に自動的に配置させることができるとともに、ファンアウト調整を、最小限の数のバッファセル81,82,83の挿入により実現することができる。
【0054】
それによって、バウンダリスキャンレジスタに対するテスト信号のネットの交差をなくすことができるため、テスト信号の逆流等による動作不良が起こるのを防ぐことができる。また、バッファセルの挿入数を抑えることができるので、LSIの面積増や、配線混雑度の増大という不都合を回避することができる。さらには、人手によりバウンダリスキャンレジスタを配置したり、ファンアウト調整をおこなう必要がないため、LSIの開発工数が大幅に削減される。
【0055】
たとえば、上述した実施の形態によれば、挿入されるバッファセルの数は、従来の市販のテスト回路合成ツールを用いてファンアウト調整をおこなった場合に挿入されるバッファセルの数の十〜数十分の1程度となる。本発明者らがあるLSIについて検証した結果、従来のツールではバッファセルの挿入数が370であったのに対し、実施の形態によれば29であり、しかも配線後の容量オーバーやタイミングエラーはゼロであった。
【0056】
以上において本発明は、上述した実施の形態および図示例に限らず、本発明の要旨を逸脱しない範囲で、種々変更可能である。
【0057】
【発明の効果】
本発明によれば、I/Oセルの配置後にI/O接続バウンダリスキャンレジスタを優先的に配置し、そのI/O接続バウンダリスキャンレジスタの配置に基づいて出力I/O制御バウンダリスキャンレジスタを配置し、さらにその後にバウンダリスキャンレジスタ間の配線長を見積もって、ファンアウト調整をおこなうため、バウンダリスキャンレジスタをI/Oの配列に沿ってその近傍に自動的に配置させることができるとともに、ファンアウト調整を、最小限の数のバッファセルの挿入により実現することができる。
【図面の簡単な説明】
【図1】本発明にかかるLSIチップのレイアウト設計方法の一例の手順を示すフローチャートである。
【図2】本発明にかかるLSIチップのレイアウト設計方法を説明するための他の模式図である。
【図3】本発明にかかるLSIチップのレイアウト設計方法を説明するための他の模式図である。
【図4】本発明にかかるLSIチップのレイアウト設計方法を説明するための他の模式図である。
【図5】本発明にかかるLSIチップのレイアウト設計方法を説明するための他の模式図である。
【図6】本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整の一例の手順を示すフローチャートである。
【図7】本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整方法(ステップS41)を説明するための模式図である。
【図8】本発明にかかるLSIチップのレイアウト設計方法におけるファンアウト調整方法(ステップS46)を説明するための模式図である。
【図9】ファンアウト調整をおこなう前のバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットを示す説明図である。
【図10】ファンアウト調整後のバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットを示す説明図である。
【図11】バッファセルが最適位置に配置されていない状態でのバウンダリスキャンレジスタ間のネットおよびバウンダリスキャンレジスタに対するテスト信号のネットを示す説明図である。
【図12】本発明にかかるLSIチップのレイアウト設計方法の実施に供せられるCAD装置の構成を示すブロック図である。
【図13】従来のテスト回路合成ツールによるバウンダリスキャンレジスタの自動配置結果を模式的に示す図である。
【符号の説明】
2 LSI
21 I/O領域
22,22a,22b I/O接続バウンダリスキャンレジスタ
23 出力I/O制御バウンダリスキャンレジスタ
29 I/O接続バウンダリスキャンレジスタ間の中間点
41〜43,51〜52,61〜68 バウンダリスキャンレジスタ
44 見積もり配線経路
60 テスト制御回路
71,72,73,74 ネット
81,82,83 バッファセル
107,115 記録媒体

Claims (4)

  1. バウンダリスキャンレジスタを有するLSIチップのレイアウト設計方法において、
    I/Oセルを配置する工程と、
    I/Oセルに接続されるI/O接続バウンダリスキャンレジスタを、配置された前記I/Oセル群のうちの接続対象であるI/Oセルの近傍の空き領域に配置する工程と、
    I/O接続バウンダリスキャンレジスタに接続される出力I/O制御バウンダリスキャンレジスタを、配置された前記I/O接続バウンダリスキャンレジスタ群のうちの接続対象である複数のI/O接続バウンダリスキャンレジスタ間の中間点に配置する工程と、
    を含むことを特徴とするLSIチップのレイアウト設計方法。
  2. バウンダリスキャンレジスタを有するLSIチップのレイアウト設計方法において、
    I/Oセルを配置する工程と、
    I/Oセルに接続されるI/O接続バウンダリスキャンレジスタを、配置された前記I/Oセル群のうちの接続対象であるI/Oセルの近傍の空き領域に配置する工程と、
    出力I/O制御バウンダリスキャンレジスタの接続対象である複数のI/O接続バウンダリスキャンレジスタが隣り合う一対のチップ辺に沿って配置されている場合、前記出力I/O制御バウンダリスキャンレジスタを、前記一対のチップ辺のうち、接続対象である前記複数のI/O接続バウンダリスキャンレジスタ間の中間点により近い側のチップ辺に寄せて配置する工程と、
    を含むことを特徴とするLSIチップのレイアウト設計方法。
  3. 配置された前記I/O接続バウンダリスキャンレジスタおよび出力I/O制御バウンダリスキャンレジスタに対してファンアウト調整をおこなう工程を含むことを特徴とする請求項1または2に記載のLSIチップのレイアウト設計方法。
  4. バウンダリスキャンレジスタを有するLSIチップのレイアウト設計方法において、
    I/Oセルを配置する工程と、
    I/Oセルに接続されるI/O接続バウンダリスキャンレジスタを、配置された前記I/Oセル群のうちの接続対象であるI/Oセルの近傍の空き領域に配置する工程と、
    I/O接続バウンダリスキャンレジスタに接続される出力I/O制御バウンダリスキャンレジスタを、配置された前記I/O接続バウンダリスキャンレジスタ群のうちの接続対象である複数のI/O接続バウンダリスキャンレジスタの配置位置に基づいて配置する工程と、
    バッファセルを、前記バッファセルが駆動する1または複数のバウンダリスキャンレジスタのうち、もっともテスト制御回路寄りに配置されたバウンダリスキャンレジスタの近傍に配置する工程と、
    配置された前記I/O接続バウンダリスキャンレジスタおよび出力I/O制御バウンダリスキャンレジスタに対してファンアウト調整をおこなう工程と、を含み、
    前記ファンアウト調整は、
    隣り合って配置されたバウンダリスキャンレジスタのマンハッタン長に基づいて各バウンダリスキャンレジスタ間の見積もり配線長を計算し、該見積もり配線長に基づいて見積もり配線容量を計算し、該見積もり配線容量に基づいてテスト信号のネット中にバッファセルを、該バッファセルによって駆動されるバウンダリスキャンレジスタの各入力端子の負荷が前記バッファセルの出力端子の駆動能力範囲内に納まるように挿入することを特徴とするLSIチップのレイアウト設計方法。
JP2000202479A 2000-07-04 2000-07-04 Lsiチップのレイアウト設計方法 Expired - Fee Related JP4887552B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000202479A JP4887552B2 (ja) 2000-07-04 2000-07-04 Lsiチップのレイアウト設計方法
US09/789,490 US6564362B2 (en) 2000-07-04 2001-02-22 Method of designing a layout of an LSI chip, and a computer product

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000202479A JP4887552B2 (ja) 2000-07-04 2000-07-04 Lsiチップのレイアウト設計方法

Publications (2)

Publication Number Publication Date
JP2002026129A JP2002026129A (ja) 2002-01-25
JP4887552B2 true JP4887552B2 (ja) 2012-02-29

Family

ID=18700002

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000202479A Expired - Fee Related JP4887552B2 (ja) 2000-07-04 2000-07-04 Lsiチップのレイアウト設計方法

Country Status (2)

Country Link
US (1) US6564362B2 (ja)
JP (1) JP4887552B2 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001351979A (ja) * 2000-06-05 2001-12-21 Fujitsu Ltd 半導体装置設計支援装置
US6571380B2 (en) * 2001-07-12 2003-05-27 Micron Technology, Inc. Integrated circuit with layout matched high speed lines
JP4224541B2 (ja) * 2002-03-20 2009-02-18 富士通マイクロエレクトロニクス株式会社 自動配置配線方法、装置及びプログラム
JP4426166B2 (ja) * 2002-11-01 2010-03-03 ユー・エム・シー・ジャパン株式会社 半導体装置の設計方法、半導体装置設計用プログラム、及び半導体装置
KR100484092B1 (ko) 2002-12-26 2005-04-18 엘지.필립스 엘시디 주식회사 듀얼패널타입 유기전계발광 소자 및 그 제조방법
JP3842228B2 (ja) * 2003-02-27 2006-11-08 Necエレクトロニクス株式会社 半導体集積回路装置と設計自動化装置及び方法並びにプログラム
JP4391300B2 (ja) 2004-04-19 2009-12-24 富士通マイクロエレクトロニクス株式会社 レイアウト設計装置、レイアウト設計方法、レイアウト設計プログラム、および記録媒体
TWI292866B (en) * 2005-09-09 2008-01-21 Via Tech Inc Method for calculatng an error detection code
JP2009517759A (ja) * 2005-12-02 2009-04-30 エヌエックスピー ビー ヴィ Ic設計方法およびic設計ツール
US7657850B2 (en) * 2006-12-12 2010-02-02 Synopsys, Inc. Chip level scan chain planning for hierarchical design flows
US8255196B2 (en) * 2008-08-25 2012-08-28 Fujitsu Limited Constructing a replica-based clock tree
JP5345098B2 (ja) * 2010-04-05 2013-11-20 日本アビオニクス株式会社 静電気ノイズ耐性を高めたプロジェクタ
CN107977477A (zh) * 2016-10-21 2018-05-01 上海复旦微电子集团股份有限公司 Fpga芯片的版图生成方法及装置
US10223489B2 (en) * 2016-11-30 2019-03-05 International Business Machines Corporation Placement clustering-based white space reservation
US10338139B1 (en) * 2016-12-15 2019-07-02 Samsung Electronics Co., Ltd. Method and apparatus for scan chain reordering and optimization in physical implementation of digital integrated circuits with on-chip test compression
US10685925B2 (en) 2018-01-26 2020-06-16 Nvidia Corporation Resistance and capacitance balancing systems and methods
US11113444B2 (en) * 2018-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Machine-learning based scan design enablement platform
CN113702798A (zh) * 2020-05-22 2021-11-26 Oppo广东移动通信有限公司 一种边界扫描测试方法、装置、设备、芯片及存储介质
CN113919275A (zh) 2020-09-21 2022-01-11 台积电(南京)有限公司 用于优化集成电路的布局的方法
CN112162884A (zh) * 2020-10-14 2021-01-01 Oppo广东移动通信有限公司 流水线寄存器的位置确定方法、装置、介质与电子设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8801362A (nl) * 1988-05-27 1989-12-18 Philips Nv Elektronische module bevattende een eerste substraatelement met een funktioneel deel, alsmede een tweede substraatelement voor het testen van een interkonnektiefunktie, voet bevattende zo een tweede substraatelement, substraatelement te gebruiken als zo een tweede substraatelement en elektronisch apparaat bevattende een plaat met gedrukte bedrading en ten minste twee zulke elektronische modules.
JP2561164B2 (ja) * 1990-02-26 1996-12-04 三菱電機株式会社 半導体集積回路
US5254942A (en) * 1991-04-25 1993-10-19 Daniel D'Souza Single chip IC tester architecture
JP2695078B2 (ja) * 1991-06-10 1997-12-24 株式会社東芝 データ処理装置クロック信号の分配方法
US5477545A (en) * 1993-02-09 1995-12-19 Lsi Logic Corporation Method and apparatus for testing of core-cell based integrated circuits
US5428624A (en) * 1993-10-12 1995-06-27 Storage Technology Corporation Fault injection using boundary scan
JP2882359B2 (ja) * 1996-04-27 1999-04-12 日本電気株式会社 レイアウト設計装置
US5838580A (en) * 1996-06-20 1998-11-17 Sun Microsystems, Inc. Method of optimizing repeater placement in long lines of a complex integrated circuit
JP3206643B2 (ja) * 1997-08-08 2001-09-10 日本電気株式会社 半導体集積回路の設計方法
US6389565B2 (en) * 1998-05-29 2002-05-14 Agilent Technologies, Inc. Mechanism and display for boundary-scan debugging information
US6314547B1 (en) * 1998-09-11 2001-11-06 International Business Machines Corporation Method for improving the assignment of circuit locations during fabrication

Also Published As

Publication number Publication date
US6564362B2 (en) 2003-05-13
US20020004929A1 (en) 2002-01-10
JP2002026129A (ja) 2002-01-25

Similar Documents

Publication Publication Date Title
JP4887552B2 (ja) Lsiチップのレイアウト設計方法
JP3024593B2 (ja) レイアウト設計方法およびレイアウト設計装置
US7353482B2 (en) Routing display facilitating task of removing error
US6405350B1 (en) System and method for improving crosstalk errors via the insertion of delay gates
JP4501728B2 (ja) クロストークエラー制御装置、クロストークエラー制御方法およびクロストークエラー制御プログラム
US6539528B2 (en) Methods, systems, and computer program products for designing an integrated circuit that use an information repository having circuit block layout information
CN112069763B (zh) 修正电路的方法
US7555737B2 (en) Auxiliary method for circuit design
JP2010257164A (ja) 半導体集積回路装置の設計方法およびプログラム
JP3953756B2 (ja) タイミングバジェット設計方法
JP3256597B2 (ja) 自動配置設計方法および自動配置設計装置
US7114139B2 (en) Device and method for floorplanning semiconductor integrated circuit
US8074198B2 (en) Apparatus and method for circuit layout using longest path and shortest path search elements
US6487707B1 (en) Layout design system of semiconductor ic device, layout design method of semiconductor ic device and computer-readable recording medium on which programs for allowing computer to execute respective means in the system or respective steps in the method are recorded
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US6405356B1 (en) Method of automatic placement for an arrayed-element device
JP5167740B2 (ja) 設計支援プログラム、設計支援装置、および設計支援方法
JP5299198B2 (ja) 設計支援プログラム、設計支援装置、および設計支援方法
JP2008009787A (ja) 階層設計レイアウト装置、および階層設計レイアウト方法、階層設計レイアウトプログラム、および該プログラムを記録した記録媒体
US20080209368A1 (en) Layout design method, layout design apparatus, and computer product
JP4053969B2 (ja) 半導体集積回路の設計装置および半導体集積回路の設計方法
US9293450B2 (en) Synthesis of complex cells
JP2006344165A (ja) レイアウト設計装置、レイアウト設計方法、レイアウト設計プログラム、および記録媒体
JP4946655B2 (ja) 設計支援プログラム、該プログラムを記録した記録媒体、設計支援装置および設計支援方法
JP3107207B2 (ja) 論理回路改善方法および論理回路改善方式

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070518

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080730

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110627

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111012

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111019

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111128

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees