JP4885930B2 - リソグラフィによるダブルパターンニング方法 - Google Patents

リソグラフィによるダブルパターンニング方法 Download PDF

Info

Publication number
JP4885930B2
JP4885930B2 JP2008276207A JP2008276207A JP4885930B2 JP 4885930 B2 JP4885930 B2 JP 4885930B2 JP 2008276207 A JP2008276207 A JP 2008276207A JP 2008276207 A JP2008276207 A JP 2008276207A JP 4885930 B2 JP4885930 B2 JP 4885930B2
Authority
JP
Japan
Prior art keywords
material layer
forming
resist pattern
layer
lithography
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008276207A
Other languages
English (en)
Other versions
JP2009135462A (ja
Inventor
峯誠 許
俊光 陳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2009135462A publication Critical patent/JP2009135462A/ja
Application granted granted Critical
Publication of JP4885930B2 publication Critical patent/JP4885930B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明はリソグラフィによるパターンニング(lithography patterning)方法に関し、特にリソグラフィによるダブルパターンニング(lithography double patterning)方法に関する。
半導体技術は現在、絶えずより小型化の方向に進んでいる。近年来、サイズにおいて、すでに65nm、45nm、さらに小型化の製造工程が開発されている。微細な集積回路のレイアウトを製作するためのフォトレジスト層は、通常、高いアスペクト比を備えている。上記のような状況下で、如何に理想的なクリティカル・ディメンション(critical dimension;CD)を維持するかということについては、数多くの要因の影響により難度の上昇をもたらしている。フォトレジスト層のクリティカル・ディメンションはこの中において挑戦が求められる局面にある項目となっている。例えば、リソグラフィによりパターンニング工程において、フォトレジスト層はパターン崩壊およびクリティカル・ディメンション低下の影響を受けやすく、製造工程における結果も思わしくなくなる。
したがって、新たなリソグラフィによるパターンニング方法を如何に設計し、上記不具合を克服するかということは、業界において解決が待たれる課題である。
よって、本発明の目的は、少なくとも一つの開口部を有する第1のフォトレジスト層を基板上に形成する工程と、第1のフォトレジスト層を硬化させる工程と、第2のフォトレジスト層を、すでに硬化した第1のフォトレジスト層および基板上に形成する工程と、マテリアル層(material layer)を基板上に形成する工程と、第1および第2のフォトレジスト層を除去して基板を露出させる工程と、を含む、リソグラフィによるパターンニング方法を提供するところにある。
本発明の他の目的は、第1のマテリアル層を基板上に形成する工程と、複数の開口部を有する第1のフォトレジスト層を第1のマテリアル層上に形成する工程と、第1のフォトレジスト層を硬化させた後、第2のフォトレジスト層を第1のマテリアル層上に形成する工程と、第2のマテリアル層を第1のマテリアル層上に形成する工程と、第1および第2のフォトレジスト層を除去して、第1のマテリアル層における第2のマテリアル層で被覆されていない部分を露出させる工程と、第2のマテリアル層をフォトマスクとして、第1のマテリアル層をエッチングする工程と、を含む、リソグラフィによるダブルパターンニング方法を提供するところにある。
本発明のさらに他の目的は、第1のリソグラフィ工程により、互いに間隔を持つ複数の第1のフォトレジスト材料を基板上に形成する工程と、第2のリソグラフィ工程により、各々が第1のフォトレジスト材料の一対の間に配置され互いに間隔を持つ複数の第2のフォトレジスト材料を形成する工程と、マテリアル層を基板上に形成する工程と、第1および第2のフォトレジスト材料を除去して、基板におけるマテリアル層で被覆されていない部分を露出させる工程と、マテリアル層をフォトマスクとして、基板をエッチングする工程と、を含む、リソグラフィによるダブルパターンニング方法を提供するところにある。
本発明の長所は、利用可能であり、しかも上記目的を容易に達成できるところである。
本発明の上記およびその他目的、特徴、長所および実施形態をより明確に理解できるよう、添付の図面の詳細な説明を下記のとおり行う。
図面および後述する実施の形態を参照した後には、当業者であれば本発明の目的、および本発明の技術的手段、ならびに実施態様を理解できるものである。
以下における説明では、異なる実施形態を開示し、そして特別な組合せの構成要素および配置を開示している。しかしこれら実施形態は単に実施形態の一部に過ぎないので、本発明の範囲を限定するものではない。例えば、第1の要素を第2の要素上に形成する記述においては、第1および第2の要素を直接接触させて形成する実施形態もありうるし、またその他要素を第1および第2の要素の間に形成する実施形態により、第1および第2の要素は直接接触しなくなることもありうる。これ以外にも、本実施形態の記述は、一部参考要素の符号を異なる実施形態中にて重複して使用することもありうる。これら重複する符号は簡素化および説明の明確化のためであり、異なる実施形態の間で関係性を持つものではない。
図1ないし図8は本発明の実施形態のうち、半導体装置100の異なる製造工程における断面図である。図9は本発明の実施形態のうち、リソグラフィによるパターンニング方法200のフローチャートである。図1ないし図9を参照することで、リソグラフィによるパターンニング方法200および半導体装置100が併せて解説される。
図1はシリコン基板110を備えた半導体装置100を示している。基板110は、ゲルマニウム、シリコンゲルマニウムまたはガリウムヒ素を含む、半導体の製造工程に適したその他の材料とすることができる。より詳しくは、基板110は、例えばダイヤモンドといったその他適した元素半導体材料、または炭化シリコン、インジウムヒ素、インジウムリンといった適した複合半導体物質、またはシリコン炭化ゲルマニウム合金、ガリウムヒ素リン、インジウムヒ素リンといった適した合金半導体材料とすることができる。また、基板110は、ガラスといった非半導体材料で形成した薄膜トランジスタ表示装置、または溶融石英、試薬のフッ化カルシウムで形成したフォトマスクとすることができる。基板110は、異なるイオンインプラント領域、誘電性材料および多層回路の接続を有している。異なる実施形態において、基板110は、例えばCMOS、イメージセンサ、メモリユニットまたはキャパシタ素子といった異なる電子素子に応じて、異なるインプラント材料を含む。基板110は異なる微細電子素子を接続または分断するために、異なる伝導性材料および誘電性材料の組合せも含んでも良い。基板110はさらにその上に形成されている一つ以上のマテリアル層を含んでも良い。
図1および図9を参照する。本発明のリソグラフィによるパターンニング方法における第1の工程は工程202であり、底部マテリアル(underlying material)層を基板110上に形成する。底部マテリアル層は、異なる応用において異なる機能を提供するように複数のフィルム層を備えている。
マテリアル層112が基板110上に形成されている。マテリアル層112はシリコン、ポリシリコン、誘電性材料、導電性材料またはその他配列の組合せにより形成することができる。一実施形態において、マテリアル層112は厚さが100Åないし9000Åである。また他の実施形態においては、マテリアル層112は厚さが1000Åないし3500Åである。マテリアル層112は一実施形態において、誘電性材料を含み、層間誘電層(interlayer dielectric;ILD)または金属層間誘電層(inter−metal dielectric;IMD)を形成している。誘電層はシリコン酸化物および/または誘電率が4未満の低誘電率の誘電性材料を含む。例えば、低誘電率の材料はフッ素をドーピングしたシリケートガラス(fluorinated silica glass;FSG)、炭素元素をインプラントしたシリコン酸化物、ブラックダイヤ、キセロゲル、エアロゲル、フッ素をドーピングしたアモルファスカーボンフィルム(fluorinated amorphous carbon film)、パリレン、ビス・ベンゾシクロブテン(bis−benzocyclobutane;BCB)、SilK誘電性樹脂、ポリイミドおよび/またはその他適当な多孔性高分子材料とすることができる。誘電層はスピンコーティング方式、または化学気相成長法またはその他適合する製造工程により形成される。
フォトマスク層114は引き続きマテリアル層112上に形成される。本実施形態においては、フォトマスク層114は、スピンコーティング方式で形成されうる炭素系被覆物質膜を含む。例えば、炭化シリコンを含んでいる炭素含有層である。フォトマスク層114は厚さが50Åないし1000Åであるとともに、この後のマテリアル層112および/または基板110のエッチング工程において、シールドとされる。
続いて、リソグラフィ露光工程における反射を低減するために、マテリアル層116をフォトマスク層114上に形成する。一実施形態においては、マテリアル層116は底部反射防止材料(bottom anti−reflective coating;BARC)を含む。マテリアル層116は厚さが50Åないし500Åである。マテリアル層116はスピンコーティング方式で形成することができる。
引き続き図1および図9を参照する。リソグラフィによるパターンニング方法200は工程204において、第1のフォトレジストパターン118を基板上に形成する。一実施形態において、フォトレジスト層は基板上に形成されるとともに、第1のリソグラフィ工程により、図1に示すような第1のフォトレジストパターン118が形成される。第1のフォトレジストパターン118は、複数の第1のフォトレジスト材料を含み、第1のフォトレジスト材料の間は、底部マテリアル層の一部を露出させるための複数の開口部を備えている。第1のフォトレジストパターン118の開口部は、所定のパターン形式により画成される。一実施形態においては、第1のフォトレジストパターンのパターン形式は、フォトマスクにより画成された集積回路のレイアウトにおける複数の配線が含まれる。第1のフォトレジスト材料の間の間隔は第1のフォトレジストパターンにおいて、一つのフォトレジスト物質から隣接するフォトレジスト材料の間の距離となっている。この間隔は50nmないし200nmの距離である。好ましい実施形態においては、この間隔は100nmである。第1のフォトレジストパターン118の厚さは100Åないし5000Åである。このうちの一実施形態においては、第1のフォトレジストパターン118の厚さは500Åないし3000Å、または1000Åないし1500Åである。第1のフォトレジストパターン118のフォトレジスト材料はポジ型またはネガ型のフォトレジスト材料とすることができる。極端紫外線(extreme ultraviolet;EUV)の先進的な製造工程において、化学増幅型(chemical amplification;CA)フォトレジストを第1のフォトレジストパターン118とすることができる。第1のフォトレジストパターン118は、有機酸(acid molecular)または、光線がその上に照射されたときに酸を発生させるように光線に反応する酸発生剤(radiation−sensitive acid generator)を含んでもよい。第1のフォトレジストパターン118を形成する第1のリソグラフィ工程は、フォトレジスト被覆、ソフトベーキング(soft baking)、フォトマスク位置合せ(mask aligning)、露光、露光後ベーキング(post−exposure baking)、現像(developing)およびハードベーキング(hard baking)の工程で形成することができる。このうち露光工程は、所定のパターンを有するフォトマスクを光線が透過して、半導体装置100に対して露光を行う。光線は例えば、248nmの波長を有するフッ化クリプトンエキシマレーザまたは193nmの波長を有するフッ化アルゴンエキシマレーザといった紫外線または極端紫外線とすることができる。リソグラフィによるパターンニング工程では、例えば正軸(on−axis)、偏軸(off−axis)、四重極(quadripole)、双極子(dipole)露光技術といったその他の露光形態または技術を用いることができる。リソグラフィによるパターンニング方法は、例えばフォトマスクレス・リソグラフィ(maskless lithography)、電子ビーム露光(electron−beam writing)、イオン・ビーム露光(ion−beam writing)および分子刻印(molecular imprint)といったもので置換できる。
図2および図9を参照する。リソグラフィによるパターンニング方法200は工程206において、第1のフォトレジストパターン118を硬化させる。硬化工程では、第1のフォトレジストパターン118をより堅固にすることで、第2のフォトレジスト層を形成する際のリソグラフィによるパターンニング工程により変形しないようにしている。硬化工程には、加熱硬化工程、または紫外線硬化、イオン・インプラント・ボンバード(ion−implant bombard)、電子ビーム処理もしくはこれらの組合せが含まれる。その他の実施形態においては、第1のフォトレジスト材料を強化または保護するために、第2のリソグラフィ工程実施前に第1のフォトレジストパターン118上をマテリアル層で被覆する。このマテリアル層は、第1のフォトレジストパターン118上に形成して保護が得られるように、例えば厚さが50Åないし500Åである底部反射防止材料といった重合性物質とすることができる。
図3および図9を参照する。リソグラフィによるパターンニング方法200は工程208において、図3に示すように、第2のリソグラフィ工程により第2のフォトレジストパターン120を基板上に形成する。第2のフォトレジスト層を底部マテリアル層および第1のフォトレジストパターン118上に形成するとともに、続いてパターンニングにより第2のフォトレジストパターン120を形成する。第1のフォトレジストパターン118と同じように、第2のフォトレジストパターン120は複数の第2のフォトレジスト材料を含み、第2のフォトレジスト材料の間は、第1および第2のフォトレジストパターンで被覆されていない底部マテリアル層の一部を露出させる開口部を備えている。第2のフォトレジスト材料は他の所定の集積回路構造パターンの第2のフォトマスクにより画成される。一実施形態においては、第2のフォトレジスト材料は隣接する二つの第1のフォトレジスト材料の間にそれぞれ形成される。したがって、隣接する二つの第2のフォトレジスト材料の間にも第1のフォトレジスト材料がある。
第2のフォトレジストパターン120の構造は第1のフォトレジストパターン118に関係し、ダブル成形の構造を形成している。一実施形態においては、第1および第2のフォトレジストパターンの開口部は、間隔を持った(pitch splitting)構造をなしている。例えば、第2のフォトレジスト材料の間は50nmないし200nmの間隔の距離を持っている。他の実施形態においては、第2のフォトレジスト材料の間は100nmの距離を持っている。一実施形態においては、第1のフォトレジストパターン118および第2のフォトレジストパターン120の第1のフォトレジスト材料および第2のフォトレジスト材料の間は、間隔を持って分割されており、この間隔は第1のフォトレジストパターンのフォトレジスト材料の間、または第2のフォトレジストパターンのフォトレジスト材料の間の距離の半分であるので、より小さな最小加工寸法(minimum features size)を実現することができる。他の実施形態においては、第1および第2のフォトレジストパターンで画成される開口部は、金属線のコンタクトホールまたは溝を形成するためのものである。例えば、第2のフォトレジストパターン120で形成される配線は第1のフォトレジストパターン118で形成される配線と交差する。第2のフォトレジストパターン120は第1のフォトレジストパターン118における形成方法、構造、厚さ、含まれるフォトレジスト材料に近似している。例えば、化学増幅型フォトレジストを第2のフォトレジストパターン120として用いることができる。第2のフォトレジストパターン120を形成する第2のリソグラフィによるパターンニング工程は、例えばフォトレジスト被覆、ソフトベーキング、フォトマスク位置合せ、露光、露光後ベーキング、現像およびハードベーキングを含むという具合に、実質的に第1のフォトレジストパターン118を形成する第1のリソグラフィによるパターンニング工程に近似している。
図4および図9を参照する。リソグラフィによるパターンニング方法200は工程210において、上部マテリアル層122を基板上に形成する。上部マテリアル層122は図4に示すように、第1および第2のフォトレジスト材料により画成された開口部に充填される。上部マテリアル層122は第1および第2のフォトレジストパターン上に形成され、シリコン含量の多い物質を含むとともに、例えばスピンコーティングといった方式で形成されている。上部マテリアル層122は重量比が40%以上であるシリコンを含む。一実施形態においては、上部マテリアル層122はシリコンを含有する有機高分子物質を含み、架橋の形式とすることができる。この架橋の形式は上部マテリアル層122を加熱ベーキングする方式で形成することができる。その他の実施形態においては、上部マテリアル層122は、例えばシリコーンといったシリコンを含む非有機の高分子物質を含むことができる。異なる実施形態においては、上部マテリアル層122は例えば酸化シリコン、窒化シリコンまたは窒化酸化シリコン、スピン・オン・グラス(spin−on glass;SOG)、例えばポリシリコンといった純シリコン物質、または例えばチタン、窒化チタン、アルミニウム、タンタルなどの金属物質を含む有機高分子物質とすることができる。上部マテリアル層122は第1および第2のフォトレジストパターンよりも厚く、例えば500Åないし2000Åであり、開口部に充填されている。その他の実施形態においては、上部マテリアル層122は、例えば800ないし900Åという具合に第1および第2のフォトレジストパターンよりも厚くして、第1および第2のフォトレジストパターンの上部表面を露出させることができる。
図8および図9を参照する。リソグラフィによるパターンニング方法200は工程212において、上部マテリアル層122をエッチングして、第1および第2のフォトレジストパターン118および120を露出させる。エッチング工程を上部マテリアル層122に行い、上部マテリアル層122の一部を除去するとともに、第1および第2のフォトレジストパターン118および120の上部表面を露出させる。エッチング工程ではCF4、C38、C48、CHF3およびCH22のエッチング剤を用いてドライエッチングを行うか、緩衝フッ酸(buffered hydrofluoric acid;BHF)でウェットエッチングを行って、二酸化シリコンをエッチングする。その他の実施形態においては、もし上部マテリアル層122の形成時に第1および第2のフォトレジストパターンの上部表面がすでに露出されているのであれば、工程212は省略することができる。
図6および図9を参照する。リソグラフィによるパターンニング方法200は工程214において、第1および第2のフォトレジストパターン118および120を除去する。上部マテリアル層122に被覆されていない底部反射防止材料は、第1および第2のフォトレジストパターン118および120の除去工程にて付随して除去することができる。第1および第2のフォトレジストパターン118および120を除去した後、図6に示すように、底部マテリアル層は上部マテリアル層122で画成されている開口部から露出する。パターンニング後の上部マテリアル層は第1および第2のフォトレジストパターンと反対のパターンとなる。上部マテリアル層のパターンはこれにより基板上に形成されたコンタクトホールまたは溝、または底部マテリアル層または基板上に画成された金属線に対応する。レジスト・アッシング工程で、フォトレジスト材料および被覆されていない底部反射防止材料を除去する。
図7および図9を参照する。リソグラフィによるパターンニング方法200は工程216において、底部マテリアル層をエッチングする。一実施形態においては、フォトマスク層114は上部マテリアル層122が除去されることで露出しているので、フォトマスク層114は、上部マテリアル層122中に画成されている開口部に対応する部分もエッチングされることになる。フォトマスク層114は第1および第2のフォトレジストパターンと反対のパターンとなる。異なる実施形態においては、エッチング工程で、フォトマスク層114は高い確率で上部マテリアル層122をエッチングする。したがって、上部マテリアル層122の開口中におけるフォトマスク層114に対応する部分は、エッチング工程で除去される。一実施形態においては、エッチング工程は窒素プラズマまたは酸素、水素、フッ化炭素、臭化炭素および混合物により実現され、シリコンを含有する上部材料を窒化物または窒酸化物として高い耐エッチング能力を持たせることができる。本発明のリソグラフィによるパターンニング方法200は多くの長所を備えており、例えば、上部マテリアル層122の各々の開口部が第1および第2のフォトレジストパターン118および120のフォトレジスト材料に対応することで、第1および第2のフォトレジストパターン118および120の間のオーバーレイ・エラー(overlay error)により隣接する二つの開口部の位置が変ってしまう可能性がある。しかし、各々の開口のサイズはすでに限定されている。オーバーレイ・エラーにより各集積回路素子(例えばコンタクトホールまたは金属線)のサイズが変ってしまうことは、本発明のリソグラフィによるダブルパターンニング方法200によって発生することはなくなる。他の実施形態においては、底部マテリアル層は一つのエッチング工程のみで完成されるので、従来のダブルパターンニングおよびダブルエッチングの工程と比べても、製造コスト、製造効率および製造品質のいずれでもこれによって改善される。他の実施形態においては、上部マテリアル層122は底部マテリアル層を除去するエッチング工程にてハードフォトマスク(hard mask)とされるので、第1および第2のフォトレジストパターンが上記したエッチング工程にてエッチングのレジストとして機能することはないので、よって第1および第2のフォトレジストパターンの厚さを薄くすることができる。したがって、底部マテリアル層に形成されるパターンのクリティカル・ディメンションがより良く制御されることになる。更には、フォトレジスト材料の耐エッチング性はかように重要ではなくなるので、フォトレジスト材料の選択において過度に厳格になる必要はなく、より多くの選択性が生まれる。
図8および図9を参照する。リソグラフィによるパターンニング方法200は工程218において、上部マテリアル層により被覆されていない部分の底部マテリアル層をエッチングした後、上部マテリアル層122を除去する。工程218では湿式化学エッチング工程またはドライプラズマエッチング工程により上部マテリアル層122を除去することができる。例えば、エッチング工程ではCF4、C38、C48、CHF3およびCH22を含有するものを用いてドライエッチングを行うか、緩衝フッ酸でウェットエッチングを行うことができる。これ以外にも、マテリアル層116は上記したものと同じまたはその他のエッチング工程で除去しても良い。
しかも、基板の一部または誘電性マテリアル層112を除去する工程は、パターンニングしたフォトマスク層114をハード・フォトマスクとして、フォトマスク層114で画成されている開口部を誘電性マテリアル層112に形成するものであって、例えば図10に示すものは、半導体装置100の側面断面図である。誘電性マテリアル層112はパターンニングされたフォトマスク層114の開口部の位置に対応し、ドライまたはウェットエッチング工程によりエッチング除去されて、複数の溝またはコンタクトホールを誘電性マテリアル層112上に形成している。フォトマスク層114はこの工程でハード・フォトマスクとされているので、誘電性マテリアル層112よりも高い耐エッチング性を備える。エッチング工程では、例えばHBr、Cl2、SF6、O2、ArまたはHeといった適合するエッチングガスを使用することができる。フォトマスク層114はエッチング工程にて消耗してしまい、残りの部分は図11に示すように除去される。
上記した図1ないし図11のリソグラフィによるパターンニング方法はリソグラフィによるダブルパターンニング方法を提供している。このリソグラフィによるパターンニング方法は二回の露光および底部マテリアル層のエッチング工程により実現されるので、製造コストを削減し、クリティカル・ディメンションの変化の影響を低減する。その他の長所には、例えば、フォトマスク層114はハード・フォトマスクとして底部マテリアル層または基板のエッチングを行うため、高い耐エッチング性を備えたフォトマスク層114を選択した後には、本発明のリソグラフィによるパターンニング方法はより厚いマテリアル層のエッチングに用いることができるというものがある。
本発明リソグラフィによるパターンニング方法200のその他の実施形態は上記したように、各種の変更および付加は、本発明の技術的思想および範囲を逸脱しないもとで、本発明の保護の範囲内に含まれるものである。一実施形態においては、複数のコンタクトホールは第1および第2のフォトレジストパターン118および120により画成されるとともに、誘電性マテリアル層112上に形成される。その他の実施形態においては、第1および第2のフォトレジストパターン118および120は、分割された間隔を持つ複数の線も画成するとともに、誘電性マテリアル層112上に形成される。一実施形態においては、上部マテリアル層112およびフォトマスク層114のエッチング速度が異なる。他の実施形態においては、底部マテリアル層はその他組合わせおよび構造的変化の実施方式を有することができ、例えば、底部マテリアル層が存在する必要はなく、第1および第2のフォトレジストパターンを基板110上に直に形成することができる。また一実施形態においては、工程212の上部マテリアル層112の一部を除去する工程では、CF4を用いたドライエッチングまたは緩衝フッ酸を用いてドライエッチングを行うことができる。その他適合するエッチング工程は、化学機械研磨(chemical mechanical polishing;CMP)法で上部マテリアル層の一部を除去しても良い。第1および第2のフォトレジストパターン118および120を除去する工程214は、例えばウェット除去法または酸素プラズマ除去法といった従来の工程を用いても良い。
他の実施形態においては、フォトマスク層114のパターンはその他のリソグラフィによるパターンニング技術と組合わせることができる。例えば、高い光学的コントラストを備えたクロムレス位相リソグラフィ(chromeless phase lithography)をフォトマスク層114のパターンに組合わせるとともに、位相シフトフォトマスク(PSM)またはバイナリ・フォトマスク(binary mask)よりも良いパターンを形成する。例えば、クロムレス・フォトマスクではポジ型フォトレジストにより島状パターンを形成し、反対にハード・フォトマスク・パターンでは、高い解析度で島状パターンをホール・パターン(hole pattern)に転換することができる。この方法はパターンを反転するだけでなく、耐エッチング性を更に高めることができる。リソグラフィによるパターンニング方法200のフォトマスク・パターンは異なる箇所に応用することができ、例えば、もし線状のパターンにてフォトマスク・パターンにより優れた品質が得られるのであれば、線状のパターンは高い解析度を得ることができることになる。
したがって本発明ではリソグラフィによるパターンニング方法を提供している。リソグラフィによるパターンニング方法は、少なくとも一つの開口部を有する第1のフォトレジストパターンを基板上に形成し、第1のフォトレジストを硬化させ、第2のフォトレジストパターンを基板上に形成し、マテリアル層を基板上に形成し、そして第1および第2のフォトレジストパターンを除去して基板を露出させる、ことを含む。
本発明では第1のマテリアル層をフォトマスクとして基板をエッチングするエッチング方式を更に提供している。本方法においては、このうちの第2のフォトレジストパターンの各々の部分が前記第1のフォトレジストパターンの少なくとも一つの開口部中に堆積されている。第1のフォトレジストパターンを硬化させる工程は、加熱硬化工程、紫外線硬化工程、電子ビーム処理工程、イオン・インプラント処理工程(ion−implant treatment)またはその組合わせの製造工程からなる。第1および第2のフォトレジストパターンは各々少なくとも一つのフォトレジスト材料を含み、各々のフォトレジスト材料は、厚さが600Åないし800Åの範囲、および幅が400Åないし500Åの範囲である。このうち、マテリアル層を形成する工程はシリコン含量の多いマテリアル層を形成することを含む。第1および第2のフォトレジストパターンを除去する工程の前に、マテリアル層をエッチングして、第1および第2のフォトレジストパターンを露出させる工程を更に含む。このうちマテリアル層をエッチングする工程はCF4、C38、C48、CHF3およびCH22を含むエッチング剤の群から選ばれる。
本発明は他の実施形態において、リソグラフィによるダブルパターンニング方法を更に提供している。リソグラフィによるダブルパターンニング方法は、第1のマテリアル層を基板上に形成する工程と、複数の開口部を有する第1のフォトレジストパターンを第1のマテリアル層上に形成する工程と、第1のフォトレジストパターンを硬化させる工程と、第1のフォトレジストパターンが硬化した後、第2のフォトレジストパターンを第1のマテリアル層上に形成する工程と、第2のマテリアル層を第1のマテリアル層上に形成する工程と、第1および第2のフォトレジストパターンを除去して第1のマテリアル層における第2のマテリアル層で被覆されていない部分を露出させる工程と、第2のマテリアル層をフォトマスクとして、第1のマテリアル層をエッチングする工程と、を含む。
本方法では、第1のマテリアル層を形成する工程が、誘電性マテリアル層を基板上に形成し、炭素を含有するマテリアル層をスピンコーティング方式で形成する、ことを更に含む。第2のマテリアル層を形成する工程が、シリコン含量の多い誘電性マテリアル層をスピンコーティング方式で形成する、ことを更に含む。このうち、シリコン含量の多いマテリアル層は重量比が40%以上のシリコンを含む。第1のフォトレジストパターンを形成する工程の前に、底部反射防止材料を前記第1のマテリアル層上に形成する工程を更に含む。第1のマテリアル層をエッチングした後、第2のマテリアル層を除去する工程を更に含む。第2のフォトレジストパターンを形成する工程は、第2のマテリアル層を開口部中に形成する工程を更に含む。
本発明は他の実施形態において、リソグラフィによるダブルパターンニング方法を更に提供している。リソグラフィによるダブルパターンニング方法は、第1のリソグラフィ工程により、互いに間隔を持つ複数の第1のフォトレジスト材料を基板上に形成する工程と、第2のリソグラフィ工程により、各々が第1のフォトレジスト材料のうちの一対の間に配置され互いに間隔を持つ複数の第2のフォトレジスト材料を形成する工程と、マテリアル層を基板上に形成する工程と、第1および第2のフォトレジスト材料を除去して、基板におけるマテリアル層で被覆されていない部分を露出させる工程と、マテリアル層をフォトマスクとして、基板をエッチングする工程と、を含む。
本方法では、第1および第2のフォトレジスト材料を除去する工程の前に、マテリアル層にエッチバック(etch back)を行う工程を更に含む。このうち各々の第1のマテリアル層と、隣接する第2のフォトレジスト材料との間隔は50nm未満である。第2のフォトレジスト材料を形成する工程の前に、第1のフォトレジスト材料を硬化させる工程を更に含む。第2のフォトレジスト材料を形成する工程の前に、重合性(polymeric)物質で第1のフォトレジスト材料を被覆する工程を更に含む。
確かに本発明では好ましい実施形態を上記のように開示したが、これは本発明を限定するためのものではなく、当業者であれば、本発明の技術的思想および範囲を逸脱することなく、各種の変更および付加を行うことができるので、本発明の保護範囲は別紙の特許請求の範囲による限定を基準と見なす。
本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法のフローチャートである。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。 本発明の一実施形態におけるリソグラフィによるパターンニング方法の各工程における半導体装置の側面断面図である。
符号の説明
100 半導体装置
110 基板
112 マテリアル層
114 フォトマスク層
116 マテリアル層
118 第1のフォトレジストパターン
120 第2のフォトレジストパターン
122 上部マテリアル層

Claims (9)

  1. リソグラフィによるパターニング方法であって、
    基板を準備し、
    第1のマテリアル層を前記基板上に形成する工程と、
    前記第1のマテリアル層の上に、炭化シリコンを含むマスク層をスピンコーティング方式で形成する工程と、
    記マスク層の上に底部反射防止材料を含むマテリアル層を形成する工程と、
    前記底部反射防止材料を含むマテリアル層の上に、前記底部反射防止材料を含むマテリアル層を露出させる開口部を有する第1のレジストパターンを形成する工程と、
    硬化手段を用いて前記第1のレジストパターンを硬化させる工程と、
    第2のレジストパターンを形成するためのリソグラフィー工程中に第1のレジストパターンが変形しないように、かつ、第1と第2のレジストパターンは前記底部反射防止材料を含むマテリアル層を露出させる間隔を持った構造を形成するように、前記開口部の底部反射防止材料を含むマテリアル層の上に第2のレジストパターンを形成する工程と、
    前記間隔を持った構造における前記底部反射防止材料を含むマテリアル層の上に、シリコン含量の多い上部マテリアル層を形成する工程と、
    前記第1および第2のレジストパターンを除去する工程と、
    前記底部反射防止材料を含むマテリアル層と前記マスク層をエッチングする工程と、を含み、前記マスク層のエッチングを窒素プラズマで行うことを特徴とするリソグラフィによるパターンニング方法。
  2. 前記上部マテリアル層をマスクとして用いて、前記底部反射防止材料を含むマテリアル層と前記マスク層をエッチングする工程を更に含むことを特徴とする請求項1に記載のリソグラフィによるパターンニング方法。
  3. 前記第1のマテリアル層は、シリコン、ポリシリコン、誘電性材料及び/又は導電性材料を含むことを特徴とする請求項1に記載のリソグラフィによるパターンニング方法。
  4. 前記第1のレジストパターンを硬化させる工程が、加熱硬化工程、紫外線硬化工程、電子ビーム処理工程、イオン・インプラント処理工程またはその配列組合わせの製造工程からなることを特徴とする請求項1に記載のリソグラフィによるパターンニング方法。
  5. 前記第1および第2のレジストパターンを除去する工程の前に、前記上部マテリアル層をエッチングして、前記第1および第2のレジストパターンを露出させる工程を更に含むことを特徴とする請求項1に記載のリソグラフィによるパターンニング方法。
  6. リソグラフィによるダブルパターンニング方法であって、
    第1のマテリアル層を基板上に形成する工程と、
    前記第1のマテリアル層の上に底部反射防止材料を含むマテリアル層を形成する工程と、
    複数の開口部を有する第1のレジストパターンを、前記底部反射防止材料を含むマテリアル層上に形成する工程と、
    熱硬化手段を用いて前記第1のレジストパターンを硬化させる工程と
    前記第1のレジストパターンが硬化した後、第のレジストパターンを形成するためのリソグラフィ手段によって前記第1のレジストパターンが変形しないように、第2のレジストパターンを前記底部反射防止材料を含むマテリアル層上に形成する工程と、
    シリコン含量の多い第2のマテリアル層を前記底部反射防止材料を含むマテリアル層上に形成する工程と、
    前記第1および第2のレジストパターンを除去して前記底部反射防止材料を含むマテリアル層における前記第2のマテリアル層で被覆されていない部分を露出させる工程と、
    前記第2のマテリアル層をマスクとして、前記底部反射防止材料を含むマテリアル層及び前記第1のマテリアル層をエッチングして、それによってコンタクトホール及び/又は溝を形成する工程と、を含み、
    前記第1のマテリアル層を形成する工程が、
    誘電性マテリアル層を前記基板上に形成し、
    炭化シリコンを含有するマテリアル層をスピンコーティング方式で形成し、
    前記炭化シリコンを含有するマテリアル層のエッチングは、窒素プラズマで行うことを特徴とするリソグラフィによるダブルパターンニング方法。
  7. 前記第2のマテリアル層を形成する工程が、シリコン含量の多い誘電性マテリアル層をスピンコーティング方式で形成する、ことを更に含むことを特徴とする請求項6に記載のリソグラフィによるダブルパターンニング方法。
  8. 前記第1のマテリアル層をエッチングした後、前記第2のマテリアル層を除去する工程を更に含むことを特徴とする請求項6に記載のリソグラフィによるダブルパターンニング方法。
  9. 前記第2のレジストパターンを形成する工程が、前記第2のレジストパターンを前記複数の開口部中に形成する工程を更に含むことを特徴とする請求項6に記載のリソグラフィによるダブルパターンニング方法。
JP2008276207A 2007-11-30 2008-10-28 リソグラフィによるダブルパターンニング方法 Active JP4885930B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/948,444 US7935477B2 (en) 2007-11-30 2007-11-30 Double patterning strategy for contact hole and trench
US11/948,444 2007-11-30

Publications (2)

Publication Number Publication Date
JP2009135462A JP2009135462A (ja) 2009-06-18
JP4885930B2 true JP4885930B2 (ja) 2012-02-29

Family

ID=40676086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008276207A Active JP4885930B2 (ja) 2007-11-30 2008-10-28 リソグラフィによるダブルパターンニング方法

Country Status (5)

Country Link
US (1) US7935477B2 (ja)
JP (1) JP4885930B2 (ja)
KR (1) KR101208461B1 (ja)
CN (1) CN101446760B (ja)
TW (1) TWI424469B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8741552B2 (en) 2009-02-11 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8303831B2 (en) * 2009-07-20 2012-11-06 GlobalFoundries, Inc. Methods for fabricating semiconductor devices
US8026178B2 (en) * 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
JP5427104B2 (ja) * 2010-05-11 2014-02-26 パナソニック株式会社 パターン形成方法
US8211807B2 (en) * 2010-10-19 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning technology using single-patterning-spacer-technique
CN102468188B (zh) * 2010-11-19 2015-03-18 旺宏电子股份有限公司 一种半导体蚀刻方法
CN102759861A (zh) * 2011-04-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 一种用于集成电路制造中改进光刻的方法
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
CN103390551B (zh) * 2012-05-10 2017-03-01 联华电子股份有限公司 半导体装置图案化结构的制作方法
CN102969235B (zh) * 2012-12-03 2017-07-25 上海集成电路研发中心有限公司 一种小尺寸线端间距的形成方法
CN103441066B (zh) * 2013-08-16 2016-01-27 上海华力微电子有限公司 基于darc掩膜结构的栅极lele双重图形成型方法
CN103439862B (zh) 2013-08-16 2016-04-27 上海华力微电子有限公司 栅极lele双重图形成型方法
US9524902B2 (en) * 2013-12-12 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit with conductive line having line-ends
CN105720002B (zh) * 2014-12-03 2019-04-23 北京北方华创微电子装备有限公司 斜孔刻蚀方法
JP2016206449A (ja) * 2015-04-23 2016-12-08 株式会社東芝 パターン形成方法
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
CN110828460B (zh) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111092013B (zh) * 2018-10-23 2022-07-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11329089B1 (en) 2019-06-07 2022-05-10 Gigajot Technology, Inc. Image sensor with multi-patterned isolation well
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62166520A (ja) 1986-01-20 1987-07-23 Nec Corp 微細パタ−ンのパタ−ニング法
JPH0253060A (ja) 1988-08-18 1990-02-22 Matsushita Electron Corp 半導体装置の製造方法
JPH02125620A (ja) 1988-11-05 1990-05-14 Mitsubishi Electric Corp パターン形成方法
JPH03270227A (ja) 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2919004B2 (ja) 1990-07-12 1999-07-12 沖電気工業株式会社 パターン形成方法
JPH05136033A (ja) 1991-11-13 1993-06-01 Hitachi Ltd パターン形成方法及びその装置
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JPH10150027A (ja) 1996-11-19 1998-06-02 Nittetsu Semiconductor Kk 半導体装置の微細レジストパターンの形成方法
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
KR20000045425A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 미세패턴 형성방법
JP3974295B2 (ja) 1999-09-24 2007-09-12 株式会社東芝 パターン形成方法
JP2001251038A (ja) 2000-03-03 2001-09-14 Nippon Mektron Ltd 回路基板のレジストパタ−ン形成法
US7399709B1 (en) * 2002-09-27 2008-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary replacement of material
JP3884415B2 (ja) 2003-07-22 2007-02-21 株式会社東芝 パターン形成方法及び半導体装置の製造方法
JP2004335873A (ja) * 2003-05-09 2004-11-25 Toshiba Corp パターン形成方法
JP2005173353A (ja) * 2003-12-12 2005-06-30 Elpida Memory Inc レジストパターン形成方法
KR100811431B1 (ko) * 2005-12-28 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
JP2009053547A (ja) 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
WO2009054413A1 (ja) 2007-10-25 2009-04-30 Nissan Chemical Industries, Ltd. 半導体装置の作製方法
KR101384814B1 (ko) 2007-12-14 2014-04-14 제이에스알 가부시끼가이샤 패턴 형성 방법
JP2009194248A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体

Also Published As

Publication number Publication date
JP2009135462A (ja) 2009-06-18
CN101446760A (zh) 2009-06-03
TW200924024A (en) 2009-06-01
US7935477B2 (en) 2011-05-03
KR20090056814A (ko) 2009-06-03
CN101446760B (zh) 2012-01-18
TWI424469B (zh) 2014-01-21
US20090142701A1 (en) 2009-06-04
KR101208461B1 (ko) 2012-12-05

Similar Documents

Publication Publication Date Title
JP4885930B2 (ja) リソグラフィによるダブルパターンニング方法
JP4890524B2 (ja) リソグラフィパターンの形成方法
US8039195B2 (en) Si device making method by using a novel material for packing and unpacking process
US8222151B2 (en) Double patterning strategy for contact hole and trench in photolithography
US9323155B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
JP5690882B2 (ja) 炭素質ハードマスクによる二重露光パターニング
JP2007521645A (ja) インプリント・リソグラフィによる単一デュアルダマシン製法
US7316872B2 (en) Etching bias reduction
US6465360B2 (en) Method for fabricating an ultra small opening
US20070048988A1 (en) Method for manufacturing semiconductor device using polymer
KR100816210B1 (ko) 반도체 장치 형성 방법
US7906272B2 (en) Method of forming a pattern of a semiconductor device
US20080280216A1 (en) Method of forming a hard mask pattern in a semiconductor device
KR100989481B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100912958B1 (ko) 반도체 소자의 미세 패턴 제조 방법
KR20050066895A (ko) 미세 콘택홀 형성 방법
KR100510616B1 (ko) 반도체 제조 공정에서의 barc 패터닝 및 식각 방법
KR100623613B1 (ko) 단차를 갖는 포토마스크 제작방법
US20090269935A1 (en) Method of Forming Pattern of Semiconductor Device
CN113496874A (zh) 半导体结构及半导体结构的形成方法
KR20090103145A (ko) 반도체 소자의 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111122

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111208

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4885930

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250