JP4786177B2 - サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム - Google Patents

サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム Download PDF

Info

Publication number
JP4786177B2
JP4786177B2 JP2004504278A JP2004504278A JP4786177B2 JP 4786177 B2 JP4786177 B2 JP 4786177B2 JP 2004504278 A JP2004504278 A JP 2004504278A JP 2004504278 A JP2004504278 A JP 2004504278A JP 4786177 B2 JP4786177 B2 JP 4786177B2
Authority
JP
Japan
Prior art keywords
support structure
wafer
susceptor
semiconductor wafer
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004504278A
Other languages
English (en)
Other versions
JP2005530335A (ja
Inventor
ジャイ リー ヤング
エル.ワン ロナルド
リー スティーブン
ジェイ.ディバイン ダニエル
Original Assignee
マットソン テクノロジイ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マットソン テクノロジイ インコーポレイテッド filed Critical マットソン テクノロジイ インコーポレイテッド
Publication of JP2005530335A publication Critical patent/JP2005530335A/ja
Application granted granted Critical
Publication of JP4786177B2 publication Critical patent/JP4786177B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、熱処理チャンバ内のサセプタで半導体ウエハを加熱する方法およびシステムに関する。
集積回路および他の電子デバイスの製造の間に、半導体ウエハは、一般に熱処理チャンバに配置されかつ加熱される。加熱の間に、様々な化学および物理処理を実行することができる。例えば、加熱サイクルの間、半導体ウエハをアニールすることができ、または様々な被覆およびフィルムを、ウエハ上に堆積することができる。
ウエハを、特にエピタキシャル処理の間に処理チャンバ内で加熱する方法は、加熱されたサセプタ上にウエハを配置することである。サセプタは、例えば、誘導加熱デバイスまたは電気抵抗ヒータを使用して加熱されることができる。サセプタを含む多くのシステムにおいて、処理チャンバ壁は、加熱処理の間に任意の望ましくない粒子または汚染を生成する壁上への任意の堆積物を避けるために、サセプタより低い温度に維持される。これらのタイプの処理チャンバは、「低温壁チャンバ(cold wall chamber)」と呼ばれ、熱非平衡状態で動作する。
図1を参照すると、低温壁処理チャンバの図が一般に符号10で示される。処理チャンバ10を、熱絶縁体から作ることができ、かつ能動的に冷却することもできる壁12を含む。例えば、炭化シリコンで作られたサセプタ14が、チャンバ10内部にある。この実施形態において、サセプタ14は、コイル16によって加熱される。
図1に示される実施形態において、処理チャンバ10は、同時に複数の半導体ウエハを処理するように構成される。示されるように、多数のウエハ18が、サセプタ14の頂部に配置されるポケット20内に配置される。処理ガス22が、チャンバを通じて循環する。
処理中、半導体ウエハ18を、サセプタによって約1000℃から約1200℃への温度に加熱することができる。不活性ガス、または半導体ウエハと反応するように構成されるガスなどの処理ガスが、ウエハが加熱される間またはウエハが加熱された後、反応装置に導入される。
図1に示されるシステムにおいて、ウエハ18は、ほとんど伝導によってサセプタから加熱される。しかしながら加熱の間、ウエハは、ウエハと処理ガスとの間の温度差のために、放射によって、囲んでいるチャンバ壁12に対して熱を失う。さらに、わずかな量の熱が、またウエハから処理ガスへ伝達される。ウエハを通過する熱のために、温度勾配は、ウエハ厚みを通って展開する。温度勾配は、ウエハに曲がりおよび変形を導入することがある。
これらの処理の間、平坦な表面上にウエハを配置することは、一般に好ましくない。特に曲がりの間に、ウエハは、中心だけでサセプタと接触し、ウエハの中心での温度を上昇させ、かつウエハにおける径方向の温度勾配を生成する。ウエハにおける径方向の温度勾配は、欠陥中心での核への転位を引き起こすことがある、ウエハにおける熱応力を生じることがある。応力で生成された転位は、優先結晶学の面(favored crystallographic plane)および方向に沿ってほとんど移動し、結晶表面の一部が、垂直方向の段差によって他から転位される可視のスリップラインを残す。この現象は、一般に「スリップ」と呼ばれる。
過去において、処理中のウエハ上のスリップを低減するために、多数の方法が示唆された。例えば、過去において、サセプタの表面に、加熱中のウエハの起こり得る曲がりの湾曲に適合するために、ウエハ下のポケットを形成するように浅いくぼみが提供された。しかしながら、ウエハがサセプタと均一に接触するポケットを設計し製造することは困難である。任意の位置ずれは、径方向の温度勾配およびスリップを引き起こす可能性がある。
他の実施形態において、サセプタは、ウエハの任意の起こり得る曲がりより深い深さを有するように設計されたポケットを有して設計された。この実施形態において、ウエハが加熱されたときに、ウエハが、サセプタポケットの縁部によってだけでその縁部で支持され、かつ任意の他の位置でポケットと接触しない。ウエハが、縁部でサセプタと接触するので、ウエハの縁部は、ウエハの中心について温度を上昇させ、かつ径方向の温度勾配を形成することができる。しかしながら、この技術は、8インチ(約20cm)より小さい直径を有するウエハに関して使用され、ある程度成功した。しかしながら、より大きな直径を有するウエハは、より大きな径方向の温度勾配を形成し、したがってより多くのスリップを形成する傾向がある。
上記を考慮して、現在、熱処理チャンバ内のサセプタ上の半導体ウエハを加熱するシステムおよび方法の必要性が存在する。より詳細には、現在、熱処理チャンバ内でウエハを支持しかつ加熱でき、かつウエハの曲がりを収容でき、一方、同時にウエハを均一に加熱できるサセプタ設計の必要性が存在する。そのようなシステムは、6インチ(約15cm)以上の直径を有するより大きなウエハに特に有用である。
本発明は、従来技術の構造および方法の前述の欠点および他の欠点を認識しかつ対処する。
一般に、本発明は、熱処理チャンバ内のサセプタで半導体ウエハを加熱する方法およびシステムに向けられる。本発明によれば、サセプタは、サセプタ上にウエハを支持するための支持構造体を含む。支持構造体は、加熱中、およびアニール中、堆積中、またはエピタキシャル中などの処理中に、ウエハに形成されることがある径方向の温度勾配を低減する。ウエハにおける径方向の温度勾配を低減することによって、ウエハに形成されるスリップを、排除または最小化することができる。また、ウエハがより均一に加熱されるので、本発明のシステムおよびプロセスは、また、被覆処理の間にウエハ上の堆積均一性を改善する。
例えば、ある実施形態において、本発明は、処理チャンバを含む半導体基板を処理するシステムに向けられる。サセプタは、処理チャンバ内に配置される。サセプタは、チャンバ内に含まれる半導体ウエハを加熱するために、誘導加熱デバイスまたは電気抵抗ヒータなどの加熱デバイスと協働して動作するように配置される。サセプタは、さらに、半導体ウエハを受けるためのウエハ支持表面を含む。ウエハ支持表面は、少なくとも1つのリセスと、リセス内に配置された対応する支持構造体とを含む。支持構造体は、ウエハの熱処理中、半導体ウエハをサセプタ上に上げる(elevate)ように構成される。
本発明によれば、支持構造体は、1100℃の温度で約0.06Cal/cm・s・℃以下の熱伝導率を有する。例えば、支持構造体は、水晶、サファイヤ、またはダイアモンドから作られることができる。
多くの適用に関して、処理チャンバは、低温壁チャンバであることができる。サセプタを加熱するために使用される誘導ヒータは、例えば、炭化シリコンによって囲まれたグラファイト素子であることができる。
熱処理中のウエハの曲がりを収容するために、サセプタのウエハ支持表面は、ウエハがポケットの頂部表面と接触することなしに、加熱中に半導体ウエハが曲がることを許容するように構成された形状を有するポケットを含むことができる。例えば、ポケットは、ポケットの頂部表面が、最高処理温度で、半導体ウエハから約0.025mm(約1mil)から約0.5mm(約20mil)まで離間するように形成されることができる。さらにポケットは、最高処理温度で、ウエハとポケットの頂部表面との間の空間が、実質的に均一であり、かつ約0.05mm(約0.05mil)以下だけ変化するように形成されることもできる。
上述のように、支持構造体は、半導体ウエハをサセプタの表面上に上げる。支持構造体の高さは、最も高い処理チャンバで半導体ウエハを通って流れる熱が均一であるように計算されることができる。一般に、支持の高さは、以下のように計算された距離の約5%以内であることができる。
Figure 0004786177
ここで、dは、サセプタと半導体ウエハとの間の距離であり、kは、支持構造体の熱伝導率であり、kは、処理チャンバ内に存在するガスの熱伝導率に等しい。
本発明で使用される支持構造体は、様々な形態および形状を有することができる。例えばある実施形態において、支持構造体は、対応する複数のリセス内に配置された複数のピンを備えることができる。ピンを、半導体ウエハを支持する共通の径に沿って離間することができる。代わりに、支持構造体は、トレンチ形状のリセスに配置されたリングを備えることができる。多くの適用に関して、支持構造体は、約0.5mm(0.02インチ
)から約2.5mm(約0.1インチ)の高さを有することができる。他方、リセスの深さは、約0.01インチ(約0.3mm)から約0.08インチ(約2mm)であることができる。
支持構造体は、ウエハの縁部近くで半導体ウエハを支持することができる。代わりに、支持構造体は、ウエハの重心近くにウエハを支持することができる。本発明のシステムは、任意のサイズおよび形状の半導体ウエハを処理することができる。しかしながら、本発明のシステムは、6インチ(約15cm)以上の直径を有する半導体ウエハを均一に加熱するのに、特に良好に適している。そのようなウエハは、有意な量のスリップの形成なしに加熱されることができる。
本発明の処理の間、半導体ウエハは、少なくとも800℃まで加熱させることができ、好ましくは1000℃まで、より詳しくは、少なくとも1100℃まで加熱されることができる。本発明によれば、ウエハを、ウエハの径方向距離にわたって約5℃以下の温度差が存在するように、最大処理温度まで加熱することができる。ウエハを均一に加熱することによって、ウエハ上にフィルムまたは被覆を均一に堆積することができる。本発明の他の特徴、態様、および利点は、以下の通り詳細に議論される。
当業者に対して、本発明の最良の形態を含む本発明の完全な開示および可能な開示は、添付の図面の参照を含む本明細書の残りでより詳細に示される。
本明細書および図面における繰り返し使用される参照符号は、本発明の同一または類似する特徴または要素を示すことを目的としている。
本議論は、例示的な実施形態を記載するだけであり、より広い態様が例示的な構造で実現される本発明のより広い態様を制限することを目的とするものではないことは、当業者によって理解されよう。
一般に、本発明は、熱処理チャンバ内のサセプタ上の半導体ウエハをより均一に加熱するためのシステムおよびプロセスに向けられる。本発明によれば、半導体ウエハは、サセプタ上で加熱されることができ、一方、スリップまたは他のウエハ欠陥を引き起こす可能性がある径方向の温度勾配を低減しまたは削除する。本発明によれば、半導体ウエハは、水晶などの比較的低い伝導材料で作られた支持構造体を使用して、加熱されたサセプタ上に懸架される。支持構造体は、ピン、リング、円弧形状セクションなどの任意の所望の形状であることができる。支持構造体を、サセプタ表面に形成されたリセスに適合して配置することができる。リセスを、ウエハ下の選択された場所で任意の組み合わせで配置することができる。
本発明によれば、リセスの深さおよび支持構造体の高さは、支持構造体を通る熱伝達に対する抵抗値が、ウエハとサセプタの表面との間の空間またはギャップを通る熱伝達と類似するまたは実質的に同一であるように構成される。このように加熱の間、支持構造体の直上のウエハ温度は、ウエハの底部表面の残りと実質的に同一のままであり、したがって径方向の温度勾配を排除する。
サセプタ内のリセスの深さまたは支持構造体の高さなどの本発明のシステムの実際の構成は、動作温度範囲などの動作状態、チャンバ内のガスのタイプ、および支持構造体を形成するために使用された材料に応じる。
ある実施形態において、支持構造体は、サセプタの表面に形成されたポケット上の半導体ウエハを懸架する。ポケットは、ウエハが、ウエハを十分に曲げさせる温度に加熱された場合に、加熱中に半導体ウエハの形状と実質的に適合する形状を有することができる。ウエハの曲がりの傾斜に対してサセプタポケットの傾斜を適合させることは、さらに、加熱処理の間に径方向の温度の均一性を維持することを支援することができる。径方向の温度の均一性を維持することは、ウエハにおけるスリップを低減するまたは排除し、かつウエハ上の被覆の形成中に堆積の均一性を改善する。
本発明のプロセスおよびシステムは、低温壁処理チャンバで使用するのに特に良く適する。しかしながら、本発明のシステムおよびプロセスを、様々な他のタイプのチャンバで使用することもできる。さらに、本発明のシステムおよびプロセスを、アニールの間またはエピタキシャル処理の間などの任意のタイプのウエハ加熱処理の間に使用することができる。
図2を参照すると、本発明により作られた全般的に符号114のサセプタの一実施形態が示されている。サセプタ114は、図1で示された処理チャンバなどの処理チャンバに配置されるように構成される。
図2に示されるように、サセプタ114は、半導体ウエハを加熱するための加熱デバイス116と協働して動作するように配置される。加熱デバイスは、無線周波数誘導コイルなどの任意の適切なヒータでありえる。代わりに、サセプタは、電気抵抗ヒータによって加熱されることができる。ある実施形態において、例えば、加熱デバイスは、炭化シリコンによって囲まれたグラファイト素子を含む誘導ヒータである。加熱デバイス116は、半導体ウエハを保持するように構成されたサセプタの一部に一体化されることができ、または代わりに、間隔を空けた関係でサセプタの表面を加熱することができる。
図2に示されるように、サセプタ114は、半導体ウエハ118を受けるためのポケット120を含む。本発明によれば、ウエハ118は、支持構造体124上に配置される。支持構造体124は、少なくとも1つのリセス126内に配置される。示されるように、支持構造体124は、リセス126の底部内で固定される。しかしながら、一般に、リセス126の内側壁は、サセプタ114と支持構造体との間で直接の熱伝達を防止するために、支持構造体124と非接触の関係にある。
支持構造体124の目的は、ポケット120の頂部表面上でウエハ118を懸架し、かつ有意な径方向の温度勾配がないように、より均一なウエハの加熱を支援することである。上述のように、特に低温壁処理チャンバにおいて、半導体ウエハ118は、放射によって、囲んでいるチャンバ壁に対して熱を失うことができる。ウエハを通る熱伝達のために、温度勾配は、ウエハの厚みを介して展開する。本発明のシステムおよびプロセスの目的は、径方向の温度勾配の展開または生成なしに、ウエハの厚みを介して熱伝達を可能にすることである。本発明により加熱されたウエハで展開する径方向の温度勾配の傾向は、支持構造体124の使用により低減される。一般に、支持構造体124は、加熱サイクルの間に実質的に同一の温度でウエハの底部表面を維持し、径方向の温度勾配の形成を防ぐ。
サセプタ上のウエハ温度の均一性を促進するために、理想的には、支持構造体は、サセプタの表面とウエハの底部表面との間に存在する任意のガスと実質的に同一の伝導率を有する。しかしながら、あいにく、ガスの伝導率に等しい伝導率を有する固体材料は存在しない。固体材料の伝導率は常により高い。しかしながら、本発明によれば、サセプタを形成するために使用された材料の伝導率より著しく低い伝導率を有する、支持構造体の材料を使用することによって、かつ支持構造体に、サセプタに形成されるリセスにおける特定の高さを提供することによって、ウエハにおける温度均一性が維持されることが、本発明者によって見出された。
例えば、サセプタおよび処理ガスを介する熱抵抗値に等しく、支持構造体を介する熱抵抗値を設定することによって、以下の式が得られる。
(Tg1−T)k/d=(1/(d/Ksu+d/k))(Tg1−T)+σ(1/(1/ε+1/ε−1))(Tg2 −T
ここで、
は支持構造体の伝導率であり、
は支持構造体の高さであり、
suはサセプタの伝導率であり、
はリセスの高さであり、
は処理ガスの伝導率であり、
はウエハとサセプタとの間の距離であり、
g1はリセスの底部のサセプタ温度であり、
g2はサセプタ頂部表面温度であり、
はウエハ底部表面温度であり、
σはStefan−Boltzmann定数であり、
εはサセプタの放射率であり、
εはウエハの放射率である。
図3を参照すると、支持構造体124の拡大図に、サセプタ114上のウエハ118の支持が示されている。示されるように、支持構造体124は、リセス126内に配置される。支持構造体124は、リセスの内壁に接触することなくリセス126内に配置される。
図3は、上記式で使用される様々な距離およびパラメータを示す。上述のように、上記式は、支持構造体を介する熱束130は、サセプタを介し、かつサセプタとウエハとの間のギャップを介する熱束132に等しい状態を表すことを目的としている。図3において、処理ガス128は、ウエハとサセプタとの間の空間に存在する。
本発明によれば、支持構造体124の伝導率が、サセプタ114の伝導率よりかなり小さく(k<<ksu)、かつウエハとサセプタとの間の放射エネルギーが無視できるなら、上記式は以下のように単純化されることができる。
Figure 0004786177
上記単純化は、サセプタが、グラファイトまたは炭化シリコンなどの高い熱伝導率を有する材料から作られるとき、特に適用可能である。上記に示されるように、この場合、支持構造体の高さは、支持構造体の伝導率と処理ガスの伝導率との比を掛けた、ウエハとサセプタとの間の距離に等しい。
本発明によりサセプタを構成するときに、上記計算された距離に可能な限り近い支持構造体の高さを有することが一般に望ましい。しかしながら、許容可能な結果は、支持構造体の高さが、上記計算された距離の約25%以内、特に上記計算された距離の約10%以内、より特に上記計算された距離の約5%以内であるなら達成される。
本発明で使用される支持構造体124の実際の高さは、様々な要因に応じて変わる。そのような要因は、支持構造体を構成するために使用される材料、処理ガスの伝導率、ウエハとサセプタとの間の距離、処理温度などを含む。一般にある実施形態において、支持構造体124の高さは、約0.5mm(約0.02インチ)から約2.5mm(約0.1インチ)までであり、特に約約0.75mm(約0.03インチ)から約2mm(約0.08インチ)までであることができる。これらの高さで、リセス126の深さは、約0.25mm(約0.01インチ)から約2mm(約0.08インチ)まで、特に約0.5mm(約0.02インチ)から約1.25mm(0.05インチ)までであることができる。サセプタ内のリセスの存在は、特定の支持構造体の高さに関して許容し、一方、ウエハがまだ所望のサセプタの頂部表面に近いように維持する。
例えば、加熱サイクルの間、ウエハ118は、サセプタの頂部表面から約0.025mm(約1mil)から約0.5mm(約20mil)、特に約0.125mm(約5mil)から約0.275mm(約11mil)から離間されるべきである。ある実施形態において、サセプタの表面は、ウエハを受けるためにポケット120を形成する。ある好ましい実施形態において、ポケットの頂部表面は、一般に最高処理温度でウエハの形状に一致する形状を有する。例えば最高処理温度で、ウエハが曲がる傾向があるなら、ポケット120の頂部表面は、ウエハにおける曲がりに一致すべきである。ウエハを通じてより良い温度均一性は、ウエハのサセプタとの接触なしに、サセプタとウエハとの均一な距離を維持することによって維持される。理想的には、最高処理温度で、ポケット120の頂部表面とウエハ118の底部表面との距離は、約0.05mm(約2mil)以下だけ、特に約0.025mm(約1mil)以下だけ変化すべきである。
様々な材料が、本発明による支持構造体124を形成するために使用されることができることが考えられる。一般に、支持構造体を形成するために選択される材料は、より高い温度で比較的低い熱伝導率を有するべきであり、加熱されたとき処理チャンバを汚染すべきではない。例えば、支持構造体を形成するために使用される材料は、ウエハが加熱される温度で金属ガスを形成するべきではない。
一般に、支持構造体の熱伝導率は、約0.06cal/cm・s・℃より低いことができ、好ましくは、約1100℃以上で約0.0037cal/cm・s・℃から約0.06cal/cm・s・℃であることができる。本発明で使用するのに良く適した特定の材料は、水晶、サファイヤ、またはダイアモンドを含む。
本発明のシステムおよびプロセスを介して、ウエハを、有意な径方向の温度勾配なく、熱処理チャンバ内での加熱されたサセプタ上で非常に有効に加熱することができる。例えば、ウエハを、径方向に10℃以下の温度差を有し、特に約5℃以下の温度差を有し、ある実施形態において、径方向に約3℃以下の温度差を有するように、本発明により処理することができると考えられる。
上述のように、支持構造体124は、一般にサセプタ114内に形成されたリセスに配置される。支持構造体124は、リセス内に配置されたときに、リセスの内壁から所定の距離だけ離間されるべきである。しかしながら支持構造体は、また、一旦リセス内に配置されると、所定の位置のままであるべきである。
図4Aから図4Cを参照すると、支持構造体およびリセス構造の様々な実施形態が示されている。
例えば図4Aに示されるように、支持構造体124は、全般的に均一な幅または径を有する。しかしながら、リセス126は、窪んだ部分(indented portion)134を含む。この部分は、支持構造体を特定の位置に維持するように構成される。
一方、図4Bに示される実施形態において、支持構造体124は、リセス内に整列された状態で支持構造体124を維持するためのフットまたはタブ部分136を含む。
図4Cを参照すると、支持構造体およびリセス構造の他の実施形態が示されている。この実施形態において、リセス126は窪んだ部分134を含み、一方、支持構造体124は対応する狭い部分138を含む。狭い部分138は、窪んだ部分134内にぴったりと嵌まる。
その高さを除いて、支持構造体のサイズおよび形状は、一般に上述の数式とは無関係である。したがって、支持構造体を、半導体ウエハを支持できる任意の適切な形状で提供することができる。例えば図5を参照すると、ある実施形態において、支持構造体124を、リングの形状にすることができる。リング124は、サセプタ114内に形成されたリセス126内に納めてもよい。この実施形態において、リセス126は、トレンチ状の形状を有することができる。
ある実施形態において、支持構造体が、図5に示されるようなリングの形状であるときには、リングは、約6.25mm(約0.25インチ)の幅を有することができ、リセスは、約7.5mm(約0.3インチ)の幅を有するトレンチの形状であることができる。
図5に示されるようなリング形状を有することに加えて、支持構造体は、図6および図7に示されるようなピン140の形状であることができる。示されるように、ピンは、半導体ウエハを均一に支持するために共通の径に沿って離間されることができる。一般に、3個以上のピンが、ウエハを支持するために必要である。
図6に示される実施形態において、ピン140は、その縁部でまたは縁部近くで半導体ウエハを支持するように配置される。しかしながら図7において、ピンは、その重心近くにウエハを支持するように配置される。しかしながら、支持構造体は、任意の適切なウエハ径に配置されることができることが理解されるべきである。
ピンの断面形状は、一般に重要ではない。例えば、図6において、ピンは円筒形状を有して示され、一方、図7において、ピンは正方形または矩形形状を有する。例示だけの目的のために、円筒形状であるときに、ピンは、約6.25mm(約0.25インチ)の直径を有することができ、約7.5mm(約0.3インチ)の直径を有するリセス内に配置されることができる。
ピン140の頂部表面は、ウエハを支持するための他の任意の形状であることができる。例えば、多くの適用のために、ピンの頂部表面は平坦であるべきである。
本発明に対するこれらおよび他の修正形態および変形形態は、添付の特許請求の範囲により詳細に示される本発明の精神および範囲から逸脱することなく、当業者によって実施されることができる。さらに、様々な実施形態の態様は、全体または一部の両方で交換されることができる。さらに、前述の記載が例示だけを目的としており、添付の特許請求の範囲においてさらに記載されるように本発明を限定する目的ではないことは、当業者は理解するであろう。
従来技術の熱処理チャンバの側面図である。 図1に示される熱処理チャンバなどの熱処理チャンバで使用するために、本発明によって作られたサセプタの一実施形態の切断部分を有する側面図である。 本発明によって作られた支持構造体のある実施形態の側面図である。 本発明によって作られた支持構造体の異なる実施形態の側面図である。 本発明によって作られた支持構造体の異なる実施形態の側面図である。 本発明によって作られた支持構造体の異なる実施形態の側面図である。 本発明によって作られたリング形状の支持構造体の一実施形態の斜視図である。 本発明によって作られたサセプタの他の実施形態の上面図である。 本発明によって作られたサセプタのさらに他の実施形態の上面図である。

Claims (38)

  1. 半導体ウエハを熱処理するための処理チャンバおよび前記処理チャンバ内に配置されるサセプタを備えたシステムであって、
    前記サセプタにおける前記半導体ウエハが載置されるウエハ支持表面には、
    少なくとも1つのリセス、および
    前記リセス内に配置された支持構造体であって、前記半導体ウエハの熱処理中に前記サセプタ上に半導体ウエハを上げるように構成された、支持構造体、
    が設けられ、
    前記支持構造体は、
    1100℃の温度で0.06Cal/cm・s・℃以下の熱伝導率を有し、かつ、高さが、以下の計算式で得られたdsプラスマイナス5%となる高さであり、
    Figure 0004786177
    ここで、
    gは、前記支持構造体で湾曲していない状態の半導体ウェハを支持した場合における前記サセプタと前記半導体ウエハとの間の距離であり、
    sは、前記支持構造体の熱伝導率であり、
    gは、前記処理チャンバ内に存在するガスの熱伝導率である
    ことを特徴とするシステム。
  2. 前記熱処理のための加熱デバイスをさらに備え、該加熱デバイスは、電気抵抗ヒータまたは誘導ヒータを備えることを特徴とする請求項1に記載のシステム。
  3. 前記加熱デバイスは、炭化シリコンによって囲まれたグラファイト素子を備えることを特徴とする請求項2に記載のシステム。
  4. 前記処理チャンバは、低温壁チャンバを備えることを特徴とする請求項1に記載のシステム。
  5. 前記支持構造体は、水晶を含む材料で形成されることを特徴とする請求項1に記載のシステム。
  6. 前記ウエハ支持表面はポケットを備え、前記ポケットは、前記ウエハが前記ポケットの頂部表面に接触することなく、加熱中に半導体ウエハが曲がることを許容するように構成された形状を有することを特徴とする請求項1に記載のシステム。
  7. 前記ポケットは、前記ポケットの頂部表面が、最高処理温度で半導体ウエハから0.025mm(1mil)から0.5mm(20mil)まで離間されるように形成されることを特徴とする請求項6に記載のシステム。
  8. 前記ポケットは、前記最高処理温度で、前記ウエハと前記ポケットの頂部表面との間の空間が、均一でありかつ0.05mm(2mil)以下だけ変化するようにさらに形成されることを特徴とする請求項7に記載のシステム。
  9. 前記サセプタは、共通の径に沿って配置された少なくとも3個のリセスを含み、前記支持構造体は、対応する複数のピンを備えることを特徴とする請求項1に記載のシステム。
  10. 前記サセプタは、円形に形成されたリセスを含み、前記支持構造体がリングを備えることを特徴とする請求項1に記載のシステム。
  11. 前記支持構造体が、0.5mm(0.02インチ)から2.5mm(0.1インチ)の高さを有することを特徴とする請求項1に記載のシステム。
  12. 前記支持構造体は、15cm(6インチ)以上の径を有するウエハを保持するように構成されたことを特徴とする請求項1に記載のシステム。
  13. 前記リセスが内壁を含み、前記支持構造体が、前記内壁から所定の距離だけ離間されることを特徴とする請求項1に記載のシステム。
  14. 前記リセスが、0.25mm(0.01インチ)から2mm(0.08インチ)までの深さを有することを特徴とする請求項1に記載のシステム。
  15. 前記支持構造体が、前記ウエハの縁部に半導体ウエハを支持するように構成されることを特徴とする請求項1に記載のシステム。
  16. 前記支持構造体が、前記ウエハの重心に半導体ウエハを支持するように、前記ウエハ支持表面上に配置されることを特徴とする請求項1に記載のシステム。
  17. 半導体ウエハを熱処理するための処理チャンバ内に配置されるサセプタであって、
    前記サセプタにおける前記半導体ウエハが載置されるウエハ支持表面には、
    少なくとも1つのリセス、
    前記リセス内に配置された支持構造体であって、前記半導体ウエハの熱処理中に前記サセプタ上に半導体ウエハを上げるように構成された、支持構造体、および
    ポケット
    が設けられ、
    前記支持構造体は、
    1100℃の温度で0.06Cal/cm・s・℃以下の熱伝導率を有し、かつ、高さが、以下の計算式で得られたdsプラスマイナス5%となる高さであり、
    Figure 0004786177
    ここで、
    gは、前記支持構造体で湾曲していない状態の半導体ウェハを支持した場合における前記サセプタと前記半導体ウエハとの間の距離であり、
    sは、前記支持構造体の熱伝導率であり、
    gは、前記処理チャンバ内に存在するガスの熱伝導率であり、
    前記ポケットは、前記ウエハが前記ポケットの頂部表面に接触することなく、加熱中に半導体ウエハが曲がることを許容するように構成された形状を有する
    ことを特徴とするサセプタ。
  18. 前記熱処理のための加熱デバイスをさらに備え、前記加熱デバイスは、電気抵抗ヒータまたは誘導ヒータを備えることを特徴とする請求項17に記載のサセプタ。
  19. 前記ポケットの前記頂部表面は、炭化シリコンを含むことを特徴とする請求項17に記載のサセプタ。
  20. 前記支持構造体は、水晶を含む材料で形成されることを特徴とする請求項18に記載のサセプタ。
  21. 前記ポケットは、前記ポケットの頂部表面が、最高処理温度で半導体ウエハから0.025mm(1mil)から0.5mm(20mil)まで離間されるように形成されることを特徴とする請求項18に記載のサセプタ。
  22. 前記ポケットは、前記最高処理温度で、前記ウエハと前記ポケットの頂部表面との間の空間が、均一でありかつ0.05mm(2mil)以下だけ変化するようにさらに形成されることを特徴とする請求項21に記載のサセプタ。
  23. 前記ウエハ支持表面がリセスを画定し、前記支持構造体が前記リセス内に配置されることを特徴とする請求項18に記載のサセプタ。
  24. 前記サセプタは、共通の径に沿って配置された少なくとも3個のリセスを含み、前記支持構造体は、対応する複数のピンを備えることを特徴とする請求項23に記載のサセプタ。
  25. 前記サセプタは、円形に形成されたリセスを含み、前記支持構造体がリングを備えることを特徴とする請求項23に記載のサセプタ。
  26. 前記支持構造体が、0.5mm(0.02インチ)から2.5mm(0.1インチ)の高さを有することを特徴とする請求項18に記載のサセプタ。
  27. 半導体ウエハを熱処理するための処理チャンバおよび前記処理チャンバ内に配置されるサセプタを備えたシステムにおいて、加熱されたサセプタ上で半導体ウエハを均一に加熱するプロセスであって、
    前記サセプタにおける前記半導体ウエハが載置されるウエハ支持表面には、
    少なくとも1つのリセス、および
    前記リセス内に配置された支持構造体であって、前記半導体ウエハの熱処理中に前記サセプタ上に半導体ウエハを上げるように構成された、支持構造体、
    が設けられ、
    前記支持構造体は、
    1100℃の温度で0.06Cal/cm・s・℃以下の熱伝導率を有し、かつ、高さが、以下の計算式で得られたdsプラスマイナス5%となる高さであり、
    Figure 0004786177
    ここで、
    gは、前記支持構造体で湾曲していない状態の半導体ウェハを支持した場合における前記サセプタと前記半導体ウエハとの間の距離であり、
    sは、前記支持構造体の熱伝導率であり、
    gは、前記処理チャンバ内に存在するガスの熱伝導率であり、
    半導体ウエハを前記支持構造体に配置すること、
    前記ウエハ支持表面に接触することなく、前記ウエハを曲げさせる最高処理温度に前記半導体ウエハを加熱すること
    を含むことを特徴とするプロセス。
  28. 前記最高処理温度が少なくとも1000℃であることを特徴とする請求項27に記載のプロセス。
  29. 前記サセプタおよび前記ウエハが、電気抵抗ヒータまたは誘導ヒータによって加熱されることを特徴とする請求項27に記載のプロセス。
  30. 前記支持構造体が、水晶、サファイヤ、またはダイアモンドを含む材料から作られることを特徴とする請求項27に記載のプロセス。
  31. 前記ウエハ支持表面は、前記表面が、前記最高処理温度で前記半導体ウエハから0.025mm(1mil)から0.5mm(20mil)まで離間され、前記ウエハと前記支持表面との間の空間が、前記最高処理温度で均一でありかつ0.05mm(2mil)以下だけ変化するように形成されることを特徴とする請求項27に記載のプロセス。
  32. 前記支持構造体は、共通の径に沿って配置された少なくとも3個の支持ピンを備えることを特徴とする請求項27に記載のプロセス。
  33. 前記支持構造体は、リングの形状であることを特徴とする請求項27に記載のプロセス。
  34. 前記支持構造体が、0.5mm(0.02インチ)から2.5mm(0.1インチ)の高さを有することを特徴とする請求項27に記載のプロセス。
  35. 前記ウエハ支持表面がさらにリセスを画定し、前記支持構造体が前記リセス内に配置されることを特徴とする請求項27に記載のプロセス。
  36. 前記ウエハが、低温壁処理チャンバで加熱されることを特徴とする請求項27に記載のプロセス。
  37. 前記半導体ウエハが、少なくとも25cm(10インチ)の直径を有することを特徴とする請求項27に記載のプロセス。
  38. 前記ウエハが、前記最高処理温度で、前記半導体ウエハを通じて5℃以下の温度差しか存在しないように加熱されることを特徴とする請求項27に記載のプロセス。
JP2004504278A 2002-05-07 2003-04-15 サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム Expired - Fee Related JP4786177B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/141,515 2002-05-07
US10/141,515 US20030209326A1 (en) 2002-05-07 2002-05-07 Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
PCT/US2003/011734 WO2003096396A1 (en) 2002-05-07 2003-04-15 Process and system for heating semiconductor substrates in a processing chamber containing a susceptor

Publications (2)

Publication Number Publication Date
JP2005530335A JP2005530335A (ja) 2005-10-06
JP4786177B2 true JP4786177B2 (ja) 2011-10-05

Family

ID=29399681

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004504278A Expired - Fee Related JP4786177B2 (ja) 2002-05-07 2003-04-15 サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム

Country Status (8)

Country Link
US (2) US20030209326A1 (ja)
JP (1) JP4786177B2 (ja)
KR (1) KR20040107477A (ja)
CN (1) CN100578734C (ja)
AU (1) AU2003221961A1 (ja)
DE (1) DE10392595T5 (ja)
TW (1) TWI278935B (ja)
WO (1) WO2003096396A1 (ja)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
DE10323085A1 (de) * 2003-05-22 2004-12-09 Aixtron Ag CVD-Beschichtungsvorrichtung
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
JP2007150132A (ja) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp 均熱装置
JP5071703B2 (ja) * 2006-08-08 2012-11-14 独立行政法人物質・材料研究機構 半導体製造装置
KR100809335B1 (ko) 2006-09-28 2008-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20080116521A1 (en) 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
JP5117146B2 (ja) * 2006-12-15 2013-01-09 日本碍子株式会社 加熱装置
US7534678B2 (en) 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP4924395B2 (ja) * 2007-12-07 2012-04-25 東京エレクトロン株式会社 処理装置及び処理方法
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101612502B1 (ko) * 2008-12-18 2016-04-14 주성엔지니어링(주) 반도체 소자의 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013053355A (ja) * 2011-09-05 2013-03-21 Taiyo Nippon Sanso Corp 気相成長装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102828238B (zh) * 2012-08-24 2015-11-04 东莞市中镓半导体科技有限公司 用于改良外延过程中衬底晶片表面温场的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9583364B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102075994B1 (ko) 2014-03-25 2020-02-12 삼성전자주식회사 기판 분리 장치 및 기판 분리 시스템
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
SG11201610304SA (en) * 2014-07-10 2017-01-27 Applied Materials Inc Design of susceptor in chemical vapor deposition reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
DE102015118215A1 (de) 2014-11-28 2016-06-02 Aixtron Se Substrathaltevorrichtung mit vereinzelten Tragvorsprüngen zur Auflage des Substrates
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102446726B1 (ko) 2015-09-11 2022-09-26 삼성전자주식회사 투명 플레이트 및 그를 포함하는 기판 처리 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106906516A (zh) * 2015-12-23 2017-06-30 财团法人工业技术研究院 氮化物半导体基板结构以及载具
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
DE102016103530A1 (de) * 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN108886014B (zh) * 2016-03-28 2023-08-08 应用材料公司 基座支撑件
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11979965B2 (en) * 2017-01-10 2024-05-07 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
EP4242361A3 (en) * 2017-01-10 2023-11-15 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11574888B2 (en) * 2017-12-15 2023-02-07 Panasonic Intellectual Property Management Co., Ltd. Component joining apparatus, component joining method and mounted structure
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
EP3597790A1 (en) * 2018-07-19 2020-01-22 King Abdullah University Of Science And Technology Susceptors for induction heating with thermal uniformity
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI751078B (zh) * 2021-04-28 2021-12-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及金屬有機化學氣相沉積裝置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113430492B (zh) * 2021-08-26 2021-11-09 陛通半导体设备(苏州)有限公司 一种pvd镀膜设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4335951A1 (de) * 2022-09-08 2024-03-13 Siltronic AG Suszeptor mit austauschbaren auflageelementen

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6489318A (en) * 1987-09-29 1989-04-03 Nec Corp Vapor growth susceptor
JPH04266011A (ja) * 1991-02-20 1992-09-22 Hitachi Ltd 半導体基板の形成方法及びその実施装置
JPH05500436A (ja) * 1989-06-30 1993-01-28 イム インスチチュテト フオル マイクロエレクトロニク シリコン板を処理する方法と装置
JPH1097960A (ja) * 1996-09-19 1998-04-14 Toyo Tanso Kk 炭化ケイ素質ダミーウェハ
US6203622B1 (en) * 1995-09-01 2001-03-20 Asm America, Inc. Wafer support system
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
WO2002065510A1 (en) * 2000-12-22 2002-08-22 Asm America, Inc. Susceptor pocket profile to improve process performance
JP2002532871A (ja) * 1998-12-10 2002-10-02 ステアーグ アール ティ ピー システムズ インコーポレイテッド 複数のウェハを処理するための急速熱処理チャンバ

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5198071A (en) * 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
DE4407377C2 (de) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaktionskammer eines Schnellheizsystems für die Kurzzeittemperung von Halbleiterscheiben und Verfahren zum Spülen der Reaktionskammer
DE4414391C2 (de) * 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Verfahren für wellenvektorselektive Pyrometrie in Schnellheizsystemen
US5858486A (en) * 1995-02-27 1999-01-12 Sgl Carbon Composites, Inc. High purity carbon/carbon composite useful as a crucible susceptor
DE19513749B4 (de) * 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US6123097A (en) * 1996-06-28 2000-09-26 Applied Materials, Inc. Apparatus and methods for controlling process chamber pressure
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US5765890A (en) * 1996-10-03 1998-06-16 Memc Electronic Materials, Inc. Device for transferring a semiconductor wafer
US5871813A (en) * 1997-03-05 1999-02-16 Applied Materials, Inc. Apparatus and method for controlling process chamber pressure
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6099596A (en) * 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6276295B1 (en) * 1997-07-30 2001-08-21 Applied Materials, Inc. Thermal reflow method employing microwave energy
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6022465A (en) * 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6489318A (en) * 1987-09-29 1989-04-03 Nec Corp Vapor growth susceptor
JPH05500436A (ja) * 1989-06-30 1993-01-28 イム インスチチュテト フオル マイクロエレクトロニク シリコン板を処理する方法と装置
JPH04266011A (ja) * 1991-02-20 1992-09-22 Hitachi Ltd 半導体基板の形成方法及びその実施装置
US6203622B1 (en) * 1995-09-01 2001-03-20 Asm America, Inc. Wafer support system
JPH1097960A (ja) * 1996-09-19 1998-04-14 Toyo Tanso Kk 炭化ケイ素質ダミーウェハ
JP2002532871A (ja) * 1998-12-10 2002-10-02 ステアーグ アール ティ ピー システムズ インコーポレイテッド 複数のウェハを処理するための急速熱処理チャンバ
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
WO2002065510A1 (en) * 2000-12-22 2002-08-22 Asm America, Inc. Susceptor pocket profile to improve process performance

Also Published As

Publication number Publication date
KR20040107477A (ko) 2004-12-20
DE10392595T5 (de) 2005-06-16
US20030209326A1 (en) 2003-11-13
AU2003221961A1 (en) 2003-11-11
CN1653591A (zh) 2005-08-10
TWI278935B (en) 2007-04-11
TW200402807A (en) 2004-02-16
JP2005530335A (ja) 2005-10-06
WO2003096396A1 (en) 2003-11-20
CN100578734C (zh) 2010-01-06
US20060032848A1 (en) 2006-02-16

Similar Documents

Publication Publication Date Title
JP4786177B2 (ja) サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム
JP3348936B2 (ja) 縦型熱処理装置
JP4247429B2 (ja) 基板ホルダ、サセプタ、基板ホルダの製造方法
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
JP2001525997A (ja) 処理装置
KR20010099630A (ko) 반도체 기판의 열처리 방법 및 장치
JP2022064922A (ja) ウエハ処理システム向けの熱管理のシステム及び方法
CN211045385U (zh) 基座
EP1610374B1 (en) Silicon wafer heat treatment jig, and silicon wafer heat treatment method
KR20030074620A (ko) 열 프로세싱 시스템을 저항적으로 가열하는 장치 및 방법
TWI338317B (en) Cylinder for thermal processing chamber
JP4003906B2 (ja) シリコン単結晶半導体ウエハ加熱処理用治具及びこれを用いたシリコン単結晶半導体ウエハ加熱処理用装置
JP2007073865A (ja) 熱処理装置
JP5021347B2 (ja) 熱処理装置
JP2002530847A (ja) 半導体基板を処理する熱処理装置、システム及び方法
JPH10242067A (ja) 熱処理用基板支持具
JP2003324106A (ja) 熱処理装置、半導体デバイスの製造方法及び基板の製造方法
KR0175051B1 (ko) 핫-월형 고속 열처리장치
JP2004356355A (ja) 熱処理方法、基板の製造方法、半導体装置の製造方法及び熱処理装置
JP2005086132A (ja) 熱処理装置、半導体装置の製造方法、基板の製造方法及び基板処理方法
JP3297267B2 (ja) 熱処理用ウェハボート及びこれを用いた熱処理装置
JP2006100303A (ja) 基板の製造方法及び熱処理装置
JPH09139389A (ja) 半導体デバイス製造用治具及び半導体デバイスの製造方法
JP2002100667A (ja) 熱処理用基板支持具

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100604

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100906

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100913

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101001

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101008

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110406

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110413

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20110517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110617

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110713

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140722

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees