JP4386333B2 - 半導体基板の製造方法 - Google Patents

半導体基板の製造方法 Download PDF

Info

Publication number
JP4386333B2
JP4386333B2 JP2002353127A JP2002353127A JP4386333B2 JP 4386333 B2 JP4386333 B2 JP 4386333B2 JP 2002353127 A JP2002353127 A JP 2002353127A JP 2002353127 A JP2002353127 A JP 2002353127A JP 4386333 B2 JP4386333 B2 JP 4386333B2
Authority
JP
Japan
Prior art keywords
sige layer
layer
thickness
sige
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002353127A
Other languages
English (en)
Other versions
JP2003229360A (ja
Inventor
マー ジェー−シェン
ジェームス トゥイート ダグラス
テン スー シェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2003229360A publication Critical patent/JP2003229360A/ja
Application granted granted Critical
Publication of JP4386333B2 publication Critical patent/JP4386333B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Recrystallisation Techniques (AREA)

Description

【0001】
【発明の属する技術分野】
(関連出願)
本出願は、2000年4月3日に出願された米国特許出願第09/541,255号の「Si上に厚い緩和SiGe層を形成する方法」、ならびに2001年2月13日に出願された米国特許出願第09/783,817号の「Si1−xGeCMOSの漏れ電流を低減する方法」に関連する。
(発明の領域)
本発明は、高速CMOS集積回路等の半導体基板の製造方法に関し、詳細には、水素注入を用いてSiGe層を形成する工程を包含する半導体基板の製造方法に関する。
【0002】
【従来の技術】
移動度の向上したMOSFETデバイスアプリケーションにおいて、キャリア移動度を向上させるために、nMOSデバイス(Welserらの”Strain dependence of the performance enhancement in strained−Si n−MOSFETs”, IEDM Conference Proceedings, p. 373 (1994)(非特許文献1)、Rimらの”Fabrication and analysis of Deep submicron strained−Si N−MOSFETs”, IEEE Transactions on Electron Devices, Vol47, 1406, (2000)(非特許文献2)、およびRimらの”Strained Si NMOSFETs for high performance CMOS technology, 2001 Symposium on VLSI Technology Digest of Technical Papers, p.59, IEEE 2001(非特許文献3))ならびにpMOSデバイス(Rimらの”Enhanced hole mobilities in surface−channel strained−Si p−MOSFETs”, IEDM Conference Proceedings, p.517(1995)(非特許文献4)、およびNayakらの”High−mobilityStrained−Si PMOSFETs”, IEEE Transactions on Electron Devices, Vol.43, 1709 (1996)(非特許文献5))の両方について、厚い応力緩和されたSi1−xGeバッファ層を、薄い歪シリコン層のための仮想基板として用いられている。バルクシリコンデバイスと比較して、Leff<70nmであるデバイスにおいて、電子移動度が70%向上したことがRimらの2001年の文献に報告されている。長チャネルデバイスにおける高電界ホール移動度(high-field hole mobilityが40%まで向上したことが、Nayakらによって報告されている。
【0003】
厚いSi1−xGe層は、ミスフィット転位の形成により可塑的に、歪み(応力)が緩和する(R. Hullらの”Nucleation of misfit dislocations in strained−layer epitaxy in the GeSi1−x/Si system”, J. Vac Sci. Technol., A7, 2580, 1989(非特許文献6)、Houghtonの”Strain relaxation kinetics in Si1−xGe/Si heterostructures”, J. Appl. Phys., 70, 2136, 1991(非特許文献7)、Wickenhauserらの”Determination of the activation energy for the heterogeneous nucleation of misfit dislocations in Si1−xGe/Si depositedby selective epitaxy”, Appl. Phys. Lett., 70, 324, 1997(非特許文献8)、Matthewsらの”Defects in epitaxial multilayers”, J. Cryst. Growth, 27, 118, 1974(非特許文献9)、およびTangらの”Investigation of dislocations in Si1−xGe/Si heterostructures grown by LPCVD”, J. Cryst. Growth, 125,301, 1992(非特許文献10))。
【0004】
しかし、このプロセスの間、通常、貫通転位が発生する。貫通転位の存在によりデバイスの性能が低下し、デバイスの歩留まりが著しく低下する。
【0005】
高品質の歪み緩和Si1−xGeバッファ層を製造する現在の最新技術は、組成の割合が厚さ方向に異なる(傾斜された)数μmの厚さを有する層の成長である(Rimらによる2000年の上記文献、Nayakらの上記文献、Schaefflerらの”High−electron−mobility Si/SiGe heterostructures: influence of the relaxed SiGe buffer layer”, Semiconductor. Sci. Technol., 7.260, 1992(非特許文献11)、およびFitzgeraldらの”Totally relaxed GeSi1−x layers with low threading dislocation densities grown onSi substrates”, Appl. Phys. Lett., 59, 811, 1991(非特許文献12))。しかし、貫通転位の密度は依然として高く、例えば典型的には10E6cm−2を超える。さらに、数μmの厚さを有するSi1−xGe層を市販の実用可能なデバイスの製造に組み込むことは、現実的ではない。SIMOX(eparation by Implantation of Oxygen)ウエハ上におけるSiGe成長の緩和についてもまた研究が行われており、この場合、Si/SiGe二重層は、基板によって平坦に維持されたフリーフローティングフォイル(free-floating foil)として振る舞う。しかし、シリコンとSiGe層との厚さの比は、SiGe層からシリコン層への転位の核形成および転位のすべりが起こるように正確に制御されねばならない。また、この技術は、ほとんどの技術アプリケーションに使用できるようにするため、より多量のゲルマニウムを含有するように展開される必要がある(LeGouseらの”Relaxation of SiGe thin films grown on Si/SiO substrates”, J. Appl. Phys. 75(11) 1994(非特許文献13)、およびPowellらの”New approach to the growth of low dislocation relaxed SiGe material”, Appl. Phys. Lett., vol.64, 1856(1994)(非特許文献14))。
【0006】
ヘリウム注入およびアニーリングによってシリコンおよびGeならびにそれらの合金内に形成された孔は、転位との間に強力で短距離の相互誘引作用を有することがわかっている。SiGe/Si界面に孔を設けることによって、応力緩和率が大幅に向上され、転位微細構造が変形される。しかし、貫通転位密度の低減は観察されなかった(Follstaedtらの”Cavity−dislocation interactions in Si−Ge and implications for heterostructure relaxation”,Appl. Phys. Lett., 69, 2059, 1996(非特許文献15))。80%の緩和を達成するためには、依然、アニーリングを約1000℃で1時間行う必要がある。
【0007】
また、水素注入により、シリコンの剥離が引き起こされ、シリコンで形成された微細層の剪断が発生することがわかっている(Weldonらの”On the mechanism of the hydrogen−induced exfoliation of silicon”,J. Vac. Sci.Technol. B. 15, 1065, 1997(非特許文献16))。この技術は、高品質SOI(ilicon−n−nsulator)ウエハの製造に用いられており、「SmartCut」(商標)プロセスとして公知である。ドイツの共同研究による最近の文献(S.Mantlらの文献およびH.Trinkausらの文献)は、水素注入を用いてSiGeの緩和度を上昇させ、貫通転位の密度を低減することの利点を報告している。(S.Mantlらの”Strain relaxation of epitaxial SiGe layers on Si(100) improved by hydrogen implantation, Nuclear Instruments and Methods in Physics ResearchB 147, 29, (1999)(非特許文献17)、およびH.Trinkausらの”Strain relaxation mechanism for hydrogen−implanted Si1−xGe/Si(100) heterostructures”, Appl. Phys. Lett., 76, 3552, 2000(非特許文献18))
しかし、上記研究者らは、厚さがわずか2000Å〜2500Åであり、Ge濃度がモル重量で22%未満であるSiGe層の緩和を報告している。このような厚さを有するSiGe層は、市販のデバイスアプリケーションにとって十分ではない。より厚い膜を形成する方法が、関連出願である米国特許出願第09/541,255号に開示されており、適切な絶縁によって漏れ電流を低減する方法が、関連出願である米国特許出願第09/783,817号に開示されている。関連米国特許出願第09/541,255号は、約21%のGeを含むSiGe薄膜の形成について記載している。キャップシリコンチャネル内の歪みを増大し、電子移動度およびホール移動度をさらに向上させるために、Ge濃度をより高くするのが望ましい。
【0008】
ドイツの共同研究は、30%までのGeを含有する大幅に緩和されたSiGe層を形成する際に、ヘリウム注入が有効であると報告している(M. Luysbergらの”Relaxation of Si1−xGe bufferlayers on Si(100) through Helium implantation”, Abstracts of the 2001 MRS Spring Meeting, Abstract P5.4, April 18, 2001(非特許文献19))。
【0009】
【非特許文献1】
Welserらの”Strain dependence of the performance enhancement in strained−Si n−MOSFETs”, IEDM Conference Proceedings, p.373, 1994、
【非特許文献2】
Rimらの”Fabrication and analysis of Deep submicron strained−Si N−MOSFETs”, IEEE Transactions on Electron Devices, Vol47, 1406, 2000
【非特許文献3】
Rimらの”Strained Si NMOSFETs for highperformance CMOS technology, 2001 Symposium on VLSI Technology Digest of Technical Papers, p.59, IEEE 2001
【非特許文献4】
pMOSデバイス(Rimらの”Enhanced hole mobilities in surface−channel strained−Si p−MOSFETs”, IEDM Conference Proceedings, p.517,1995、
【非特許文献5】
Nayakらの”High−mobility Strained−Si PMOSFETs”, IEEE Transactions on Electron Devices, Vol.43, 1709 (1996)
【非特許文献6】
R.Hullらの”Nucleation of misfit dislocations in strained−layer epitaxy inthe GeSi1−x/Si system”, J. Vac Sci. Technol., A7, 2580, 1989、
【非特許文献7】
Houghtonの”Strain relaxation kinetics in Si1−xGe/Si heterostructures”, J. Appl. Phys., 70, 2136, 1991、
【非特許文献8】
Wickenhauserらの”Determination of theactivation energy for the heterogeneous nucleation of misfit dislocations in Si1−xGe/Si deposited by selective epitaxy”, Appl. Phys. Lett., 70, 324, 1997、
【非特許文献9】
Matthewsらの”Defects in epitaxial multilayers”, J. Cryst. Growth, 27, 118, 1974、
【非特許文献10】
Tangらの”Investigation of dislocations in Si1−xGe/Si heterostructures grown by LPCVD”, J. Cryst. Growth, 125,301, 1992
【非特許文献11】
Schaefflerらの”High−electron−mobilitySi/SiGe heterostructures: influenceof the relaxed SiGe buffer layer”, Semiconductor. Sci. Technol., 7.260,1992
【非特許文献12】
Fitzgeraldらの”Totally relaxed GeSi1−x layers with low threading dislocation densities grown on Si substrates”, Appl. Phys. Lett., 59, 811, 1991
【非特許文献13】
LeGouseらの”Relaxation of SiGe thin films grown on Si/SiO substrates”, J. Appl. Phys. 75(11), 1994
【非特許文献14】
Powellらの”New approach to the growthof low dislocation relaxed SiGe material”, Appl. Phys. Lett., vol. 64, 1856,1994
【非特許文献15】
Follstaedtらの”Cavity−dislocation interactions in Si−Ge and implications for heterostructure relaxation”,Appl. Phys. Lett., 69, 2059, 1996
【非特許文献16】
Weldonらの”On the mechanism of the hydrogen−induced exfoliation of silicon”,J. Vac. Sci. Technol. B. 15, 1065, 1997
【非特許文献17】
S.Mantlらの”Strain relaxation of epitaxial SiGe layers on Si(100) improved by hydrogen implantation, Nuclear Instruments and Methods in Physics Research B 147, 29, 1999
【非特許文献18】
H.Trinkausらの”Strain relaxation mechanism for hydrogen−implanted Si1−xGe/Si(100) heterostructures”, Appl. Phys. Lett., 76, 3552, 2000
【非特許文献19】
M. Luysbergらの”Relaxation of Si1−xGe buffer layers on Si(100) through Helium implantation”, Abstracts of the 2001 MRS Spring Meeting, Abstract P5.4, April 18, 2001
【0010】
【発明が解決しようとする課題】
この論文の口頭発表において、1・1016cm−2〜3・1016cm−2のドーズ量で18keVヘリウムイオンが注入され、750℃〜1000℃のRTA処理が施された30%のGe濃度を有する100nmの厚さのSiGe層において、80%の応力緩和が達成されたことが具体的に報告されている。発表者は、Ge濃度が22%よりも高い場合、水素注入は有効ではないと具体的に述べている。22%を超えるGe濃度を有する平滑な100nm〜500nmの厚さの応力緩和SiGe層を形成するために、ヘリウム注入が必要であり、水素注入は有効でないことが報告されている。
【0011】
本発明の目的は、水素注入を用いて、高いGe濃度(22%以上、モル分率)を有する厚い(例えば100nm〜500nm)応力緩和された平滑なSiGe層(膜)を、高速MOSFETアプリケーションのために用いられる引張歪みのかかったシリコン膜のためのバッファ層として形成することである。
【0012】
【課題を解決するための手段】
本発明の半導体基板の製造方法は、比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、シリコン基板を提供する工程と、Ge濃度がモル分率で22%以上であるSiGe層を100nm〜500nmの厚さに堆積する工程と、1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜40keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、不活性雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、該SiGe層を緩和する熱アニーリング工程と、該緩和SiGe層上に、引張歪みのかかったシリコン層を5nm〜30nmの厚さに堆積する工程と、を含み、該SiGe層を堆積する工程では、該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から22%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する。
【0013】
前記SiGeの層を堆積する工程が、400℃〜600℃の温度で該SiGeの層を堆積する。
【0014】
前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む。
【0015】
前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む。
【0016】
前記熱アニーリング工程は、アルゴン雰囲気中で行われる。
【0017】
また、本発明の半導体基板の製造方法は、比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、バルクシリコンおよびSIMOXからなる基板のいずれかより選択されたシリコン基板を提供する工程と、Ge濃度がモル分率で25%以上のSiGe層を、400℃〜600℃の範囲内の温度で、100nm〜500nmの厚さに堆積する工程と、1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜45keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、アルゴン雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、該SiGe層を緩和する熱アニーリング工程と、該緩和SiGe層上に、引張歪みのかかったシリコンの層を5nm〜30nmの厚さに堆積する工程と、を含み、該SiGe層を堆積する工程では、該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から25%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する。
【0018】
前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む。
【0019】
前記緩和SiGe層の厚さが300nm未満である場合に、前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む。
【0020】
また、本発明の半導体基板の製造方法は、比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、シリコン基板を提供する工程と、400℃〜600℃の範囲内の温度で、Ge濃度がモル分率で22%以上のSiGe層を100nm〜500nmの厚さに堆積する工程と、1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜45keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、不活性雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、少なくとも70%の緩和が達成されるように該SiGe層を緩和する熱アニーリング工程と、該緩和SiGe層上に、引張歪みのかかったシリコンの層を5nm〜30nmの厚さに堆積する工程と、を含み、該SiGe層を堆積する工程では、該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から22%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する。
【0021】
前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む。
【0022】
前記熱アニーリング工程は、アルゴン雰囲気中で行われる。
【0023】
前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む。
【0024】
前記熱アニーリング工程によって得られた前記緩和SiGe層の厚さが300nm未満である場合にのみ、前記熱アニーリング工程によって得られた前記緩和SiGe層上に前記100nmの厚さを有する緩和SiGeの層を堆積する工程が行われる。
【0025】
上記した本発明の目的および要旨は、本発明の本質を素早く理解できるように提供されたものである。以下に図面と関連付けて説明する本発明の好適な実施形態の詳細な説明を参照することにより、本発明をより完全に理解し得る。
【0026】
【発明の実施の形態】
本明細書の開示は、従来技術による教示とは逆に、22%以上のGe濃度を有する大幅に歪み(応力)緩和されたSiGe膜を形成する際に、水素注入が非常に有用であることを示す。本明細書に記載の技術を、モル重量比で22%を超えるGe濃度を有するSiGe層(膜)に適用するが、本発明の方法を用いる場合、Ge濃度の上限は指示されない。ヘリウムは、欠陥を不動態化できないが、水素は欠陥を不動態化できることがよく知られているので、市販のデバイスアプリケーションの場合、ヘリウムよりも水素注入の方が好ましい。本発明の方法は、水素注入を用いて、高いGe濃度(モル分率で22%以上)を有し、且つ、低い貫通転位密度を有する厚い(例えば100nm〜500nm)応力緩和された平滑なSiGe層(膜)を形成する。
【0027】
本発明の方法を、まず図1を参照して説明する。初めに、シリコン基板10が提供される。シリコン基板10は、バルクシリコンまたはSIMOX(eparation by Implantation of Oxygen)であり得る。シリコン基板10上に、歪SiGe層12が約100〜500nmの厚さに堆積される。歪SiGe層12のGe濃度は、原子比率(モル分率)で22%以上であり得る。本発明の方法の好適な実施形態では、約30%のGe濃度を有するSiGe層12を形成する。あるいは、傾斜されたGeプロフィール、すなわち、厚さ方向におけるGe濃度が、厚くなるほど高くなっているSiGe層12が使用され得る。成長条件および材料ガスは、良好な結晶性を確保すると共に、表面の凹凸が最小化されるように選択する必要がある。このことは、通常、例えば400℃〜600℃での低温成長を行って、準安定歪SiGe膜を形成することを意味する。
【0028】
図2を参照すると、Hイオンが注入される。Hのドーズ量は約1・1016cm−2〜5・1016cm−2の範囲内である。エネルギレベルは、SiGeの厚さに依存するが、通常、約20keV〜45keVの範囲内である。注入工程が実施される間の汚染を避けるために、約50Å〜300Å(5〜30nm)の薄い犠牲シリコン酸化物層(sacrificial silicon oxide layer)をSiGe層12上に堆積してもよい。
【0029】
図3は、熱アニーリング工程を示す。この熱アニーリングにより、歪SiGe層12が第1の歪み(応力)緩和SiGe層14に変化する。アニーリングは、Ar等の不活性雰囲気内において、約650℃〜950℃の範囲内の温度で約30秒から30分の間にわたって行われる。
【0030】
必要に応じて、任意に、歪み緩和されたSiGeからなる第2のSiGe層16を、緩和SiGe層14上に約100nm以上の厚さに堆積する。この任意に設ける層が必要かどうかを判断する基準は、緩和SiGe層14の厚さである。SiGe層14が300nmよりも薄い場合、最終的なSiGe緩和層全体の厚さが少なくとも300nmになるように、追加の歪み緩和SiGe層16を設けることが要求される。
【0031】
図5に示す本発明の方法の最終工程において、引張応力のかかったシリコン層18が、約5nm〜30nmの厚さを有するように、緩和SiGe層14または第2のSiGe層16上に堆積される。
【0032】
図6、図7、および図8〜図10は、モル重量比で約25%〜30%のGe濃度を有する200nm〜220nmの厚さのSiGe膜の、水素注入および熱緩和後の状態を示す。図6は、Ge濃度が約28〜30%であり、200nm〜220nmの厚さを有するSiGe層の、水素注入および熱緩和を行った後のノマルスキー顕微鏡画像を示す図である。図7は、図6に示すSiGe層のX線回折を示す図である。また、図8は、厚さ方向の組成割合が変化した傾斜のあるGeプロフィールを有する厚さ300nmのSiGe膜の、水素注入およびアニーリングを行った後の400倍のノマルスキー顕微鏡画像を示す図、図9は、傾斜のあるGeプロフィールを有する厚さ300nmのSiGe膜の、水素注入およびアニーリングを行った後の1000倍のノマルスキー顕微鏡画像を示す図である。図10は、図8および図9のSiGe層のX線回折を示す図である。
【0033】
図6、図8および図9のノマルスキー顕微鏡画像は、非常に平坦な表面の状態を示している。図7および図10は、それぞれ、X線回折の逆格子空間マップ(reciprocal space map)を示し、これらのマップから、少なくとも70%から85%までの結晶格子の大幅な歪み緩和が得られることが確認される。図7を参照すると、この緩和された状態は、破線で示されるように、シリコン(224)ピークとSiGe(224)ピークとの間のオフセットによって示される。
【0034】
図11は、傾斜のあるGeプロフィールを有する約300nmの厚さを有するSiGe膜の、水素注入およびアニーリングを行った後のノマルスキー顕微鏡画像を示す。図12は、図11のSiGe層のX線回折を示す。Ge濃度は、シリコン基板上の21%からSiGe層表面の30%へとほぼ直線的に変化する。傾斜のあるGeプロフィールを用いることにより、SiGe層の厚さを容易に増加でき、平滑な表面を有する大幅に歪み緩和されたSiGe層を提供することもできる。このSiGe層は、通常は第2のSiGe堆積が必要でなくなるような十分な厚さを有し、それによりSiGe層全体の品質が向上する。
【0035】
本発明の方法に基づいて構成された歪み緩和されたSiGe層の全てが、引張応力のかかったシリコン膜を成長させるための基板として用いられる。その後、これらの膜を用いて、向上されたホールおよび電子移動度を有するnMOSおよびpMOSトランジスタを形成する。図6および図7のSiGe薄膜は、28.6%のGe濃度を有する。SiGe薄層は約200nmの厚さを有し、約25keVのエネルギで、約3・1016cm−2のイオンドーズ量でHイオン注入を行って形成される。ウエハは、RTAチャンバ内のアルゴン雰囲気中で、約800℃で約10分間アニーリングされる。1000倍のノマルスキー顕微鏡画像は、より平滑な表面を示している。図7のX線回折の逆格子空間マップは、大きな中央ピークを示す。このピークは、シリコン(−2−24)基板ピークである。その下から右にかけての小さい方のピークは、部分的に緩和されたSiGe層から得られたものである。これら2つのピークの相対的な位置から、SiGe層は28.2%±0.5%のGeを有し、75.8%±3%、応力が緩和されている。
【0036】
図8、図9、および図10は、約30%のGe濃度を有する大幅に応力緩和された(例えば約85%)平滑な第1のSiGe層を示す。この例は、SiGe層内のGe濃度が約30%であり、SiGe層の厚さは約220nmである。約20nmのSiOキャップがPECVDによって形成される。Hイオン注入は、約26keVのエネルギで、約3・1016cm−2のイオンドーズ量で行われる。ウエハは、RTAチャンバ内のアルゴン雰囲気中で、約800℃で9分間アニーリングされる。図9は、ウエハの中央で撮影された400倍のノマルスキー顕微鏡画像を示す。図9は、同じくウエハの中央で撮影された、同じウエハの1000倍のノマルスキー画像である。図10は、そのウエハのX線回折を示し、SiGe膜が29.7%±0.5%のGe濃度を有し、85.2%±3%、応力緩和されている。
【0037】
図11および図12は、大幅に緩和された、平滑な表面を有する傾斜Geサンプルを示す。図11は、大幅に緩和された(例えば約82%)平滑な第1のSiGe層のノマルスキー顕微鏡画像であり、ウエハの中心を約1000倍で撮影したものである。図12は、図11のウエハのX線回折を示す図である。SiGe層の厚さは約301nmであり、成長させたままの状態で約21%〜30%のGe傾斜プロフィールを有する。Hイオン注入は、約32keVのエネルギレベルで、約2・1016cm−2のイオンドーズ量で行われる。ウエハは、RTAチャンバ内のアルゴン雰囲気中で、約800℃で9分間アニーリングされる。SiGe層は、27.8%±0.5%のGe濃度を有し、82.2%±3%、応力が緩和されている。
(他の実施形態)
本発明の方法は、傾斜Geプロフィールが層の表面において22%よりも大きなGe濃度を有するように、300nmを超える厚さのSiGe層を成長させ、水素注入(H−II)を行い、RTAを行って(SiGe層の応力を緩和させ)、それによって引張エピシリコンキャップ/チャネルを形成することによって改変され得る。この実施形態は、第2のSiGe層の堆積を必要としない。
【0038】
本発明の方法の他の実施形態は、一定のGeプロフィールまたは傾斜のついたGeプロフィールを有する第1のSiGe層を成長させ、水素注入(H−II)を行い、RTAを行って(SiGe層の応力を緩和させ)、表面におけるGe濃度が22%よりも大きな一定のGeプロフィールまたは傾斜のついたGeプロフィールを有する第2のSiGe層を成長させ、それによって引張エピシリコンキャップ/チャネルを形成することを含む。本発明の方法の本実施形態のSiGe層の厚さの合計は300nm以上である必要がある。
【0039】
以上のように、高Ge濃度を有する緩和SiGe層を形成する方法を開示した。特許請求の範囲に規定された本発明の範囲内でさらなる変形および修正を行い得ることが理解される。
【0040】
【発明の効果】
本発明の半導体基板の製造方法は、このように、水素注入を用いて、モル分率が22%以上の高いGe濃度を有する厚い応力緩和された平滑なSiGe層を形成することができ、そのSiGe層によって、高速MOSFETを製造することができる。
【図面の簡単な説明】
【図1】本発明のSiGe堆積方法を示す図である。
【図2】本発明のSiGe堆積方法を示す図である。
【図3】本発明のSiGe堆積方法を示す図である。
【図4】本発明のSiGe堆積方法を示す図である。
【図5】本発明のSiGe堆積方法を示す図である。
【図6】Ge濃度が約28〜30%であり200nm〜220nmの厚さを有するSiGe膜の、水素注入および熱緩和を行った後のノマルスキー顕微鏡画像を示す図である。
【図7】図6のウエハのX線回折を示す図である。
【図8】傾斜のあるGeプロフィールを有する厚さ300nmのSiGe膜の、水素注入およびアニーリングを行った後の400倍のノマルスキー顕微鏡画像を示す図である。
【図9】傾斜のあるGeプロフィールを有する厚さ300nmのSiGe膜の、水素注入およびアニーリングを行った後の1000倍のノマルスキー顕微鏡画像を示す図である。
【図10】図8および図9のSiGe層のX線回折を示す図である。
【図11】傾斜のあるプロフィールを有するように形成された300nmの厚さのSiGe層のノマルスキー顕微鏡画像を示す図である。
【図12】図11の300nmの厚さを有するSiGe層の1000倍のX線回折を示す図である。
【符号の説明】
10 シリコン基板
12 歪SiGe層
14 緩和SiGe層
16 第2のSiGe層
18 シリコン層

Claims (13)

  1. 比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、
    シリコン基板を提供する工程と、
    Ge濃度がモル分率で22%以上であるSiGe層を100nm〜500nmの厚さに堆積する工程と、
    1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜40keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、
    不活性雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、該SiGe層を緩和する熱アニーリング工程と、
    該緩和SiGe層上に、引張歪みのかかったシリコン層を5nm〜30nmの厚さに堆積する工程と、を含み、
    該SiGe層を堆積する工程では、
    該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から22%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する、半導体基板の製造方法。
  2. 前記SiGeの層を堆積する工程が、400℃〜600℃の温度で該SiGeの層を堆積する、請求項1に記載の方法。
  3. 前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む、請求項1に記載の方法。
  4. 前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む、請求項1に記載の方法。
  5. 前記熱アニーリング工程は、アルゴン雰囲気中で行われる、請求項1に記載の方法。
  6. 比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、
    バルクシリコンおよびSIMOXからなる基板のいずれかより選択されたシリコン基板を提供する工程と、
    Ge濃度がモル分率で25%以上のSiGe層を、400℃〜600℃の範囲内の温度で、100nm〜500nmの厚さに堆積する工程と、
    1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜45keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、
    アルゴン雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、該SiGe層を緩和する熱アニーリング工程と、
    該緩和SiGe層上に、引張歪みのかかったシリコンの層を5nm〜30nmの厚さに堆積する工程と、を含み、
    該SiGe層を堆積する工程では、
    該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から25%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する、半導体基板の製造方法。
  7. 前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む、請求項6に記載の方法。
  8. 前記緩和SiGe層の厚さが300nm未満である場合に、前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む、請求項6に記載の方法。
  9. 比較的高いGe濃度を有するSiGe層を形成する方法を包含する半導体基板の製造方法であって、
    シリコン基板を提供する工程と、
    400℃〜600℃の範囲内の温度で、Ge濃度がモル分率で22%以上のSiGe層を100nm〜500nmの厚さに堆積する工程と、
    1・1016cm−2〜5・1016cm−2のドーズ量で、20keV〜45keVのエネルギで、Hイオンを該SiGe層に注入する注入工程と、
    不活性雰囲気中で、650℃〜950℃の温度で、30秒〜30分間、該シリコン基板および該SiGe層を熱アニーリングして、少なくとも70%の緩和が達成されるように該SiGe層を緩和する熱アニーリング工程と、
    該緩和SiGe層上に、引張歪みのかかったシリコンの層を5nm〜30nmの厚さに堆積する工程と、を含み、
    該SiGe層を堆積する工程では、
    該SiGe層を、そのシリコン基板との界面で、Ge濃度が0%から22%まで変化し、SiGe層内では、該界面から該SiGe層の表面にかけてGe濃度が連続して増大するよう堆積する、半導体基板の製造方法。
  10. 前記注入工程よりも前に、前記SiGe層上にシリコン酸化物の層を50Å〜300Åの厚さに堆積する工程をさらに含む、請求項9に記載の方法。
  11. 前記熱アニーリング工程は、アルゴン雰囲気中で行われる、請求項9に記載の方法。
  12. 前記熱アニーリング工程よりも後に、前記緩和SiGe層上に100nmの厚さを有する緩和SiGeの層を堆積する工程をさらに含む、請求項9に記載の方法。
  13. 前記熱アニーリング工程によって得られた前記緩和SiGe層の厚さが300nm未満である場合にのみ、前記熱アニーリング工程によって得られた前記緩和SiGe層上に前記100nmの厚さを有する緩和SiGeの層を堆積する工程が行われる、請求項12に記載の方法。
JP2002353127A 2002-01-31 2002-12-04 半導体基板の製造方法 Expired - Fee Related JP4386333B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/062,319 2002-01-31
US10/062,319 US6746902B2 (en) 2002-01-31 2002-01-31 Method to form relaxed sige layer with high ge content

Publications (2)

Publication Number Publication Date
JP2003229360A JP2003229360A (ja) 2003-08-15
JP4386333B2 true JP4386333B2 (ja) 2009-12-16

Family

ID=27610290

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002353127A Expired - Fee Related JP4386333B2 (ja) 2002-01-31 2002-12-04 半導体基板の製造方法

Country Status (5)

Country Link
US (2) US6746902B2 (ja)
JP (1) JP4386333B2 (ja)
KR (1) KR100521708B1 (ja)
CN (1) CN1263089C (ja)
TW (1) TW580726B (ja)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157119B2 (en) * 2002-06-25 2007-01-02 Ppg Industries Ohio, Inc. Method and compositions for applying multiple overlying organic pigmented decorations on ceramic substrates
US7018910B2 (en) * 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
JP2004103805A (ja) * 2002-09-09 2004-04-02 Sharp Corp 半導体基板の製造方法、半導体基板及び半導体装置
FR2844634B1 (fr) * 2002-09-18 2005-05-27 Soitec Silicon On Insulator Formation d'une couche utile relaxee a partir d'une plaquette sans couche tampon
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
DE10318283A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
EP1647046A2 (en) * 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
FR2860340B1 (fr) * 2003-09-30 2006-01-27 Soitec Silicon On Insulator Collage indirect avec disparition de la couche de collage
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
JP4507604B2 (ja) * 2004-01-16 2010-07-21 信越半導体株式会社 貼り合せ歪みウェーハの歪み量測定方法
JP2005244187A (ja) * 2004-01-30 2005-09-08 Toshiba Ceramics Co Ltd 歪みシリコンウエハおよびその製造方法
US7247583B2 (en) 2004-01-30 2007-07-24 Toshiba Ceramics Co., Ltd. Manufacturing method for strained silicon wafer
US7030002B2 (en) * 2004-02-17 2006-04-18 Sharp Laboratories Of America, Inc. Low temperature anneal to reduce defects in hydrogen-implanted, relaxed SiGe layer
CA2571483A1 (en) * 2004-06-21 2005-12-29 Wageningen University Tailor-made functionalized silicon and/or germanium surfaces
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7279400B2 (en) * 2004-08-05 2007-10-09 Sharp Laboratories Of America, Inc. Method of fabricating single-layer and multi-layer single crystalline silicon and silicon devices on plastic using sacrificial glass
US7241670B2 (en) * 2004-09-07 2007-07-10 Sharp Laboratories Of America, Inc Method to form relaxed SiGe layer with high Ge content using co-implantation of silicon with boron or helium and hydrogen
DE102004048096A1 (de) * 2004-09-30 2006-04-27 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US20090130826A1 (en) * 2004-10-11 2009-05-21 Samsung Electronics Co., Ltd. Method of Forming a Semiconductor Device Having a Strained Silicon Layer on a Silicon-Germanium Layer
KR100593747B1 (ko) * 2004-10-11 2006-06-28 삼성전자주식회사 실리콘게르마늄층을 구비하는 반도체 구조물 및 그 제조방법
US7273800B2 (en) * 2004-11-01 2007-09-25 International Business Machines Corporation Hetero-integrated strained silicon n- and p-MOSFETs
GB0424290D0 (en) 2004-11-02 2004-12-01 Koninkl Philips Electronics Nv Method of growing a strained layer
WO2006053213A1 (en) * 2004-11-09 2006-05-18 University Of Florida Research Foundation, Inc. Methods and articles incorporating local stress for performance improvement of strained semiconductor devices
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
US7138309B2 (en) * 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer
JP2006270000A (ja) 2005-03-25 2006-10-05 Sumco Corp 歪Si−SOI基板の製造方法および該方法により製造された歪Si−SOI基板
US7364989B2 (en) * 2005-07-01 2008-04-29 Sharp Laboratories Of America, Inc. Strain control of epitaxial oxide films using virtual substrates
US20070102834A1 (en) * 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
KR100768507B1 (ko) 2006-05-22 2007-10-18 한양대학교 산학협력단 반도체 기판 및 이의 제조 방법
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
CN102479717B (zh) * 2010-11-29 2015-07-08 中芯国际集成电路制造(北京)有限公司 硅锗外延层的形成方法
CN103065932B (zh) * 2011-10-24 2016-01-13 中国科学院上海微***与信息技术研究所 一种张应变Ge薄膜的制备方法及层叠结构
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
RU2621370C2 (ru) * 2015-09-18 2017-06-02 федеральное государственное бюджетное образовательное учреждение высшего образования Кабардино-Балкарский государственный университет им. Х.М. Бербекова Способ изготовления полупроводникового прибора
US9472671B1 (en) 2015-10-31 2016-10-18 International Business Machines Corporation Method and structure for forming dually strained silicon
JP6493197B2 (ja) * 2015-12-18 2019-04-03 株式会社Sumco シリコンゲルマニウムエピタキシャルウェーハの製造方法およびシリコンゲルマニウムエピタキシャルウェーハ

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
DE19802977A1 (de) * 1998-01-27 1999-07-29 Forschungszentrum Juelich Gmbh Verfahren zur Herstellung einer einkristallinen Schicht auf einem nicht gitterangepaßten Substrat, sowie eine oder mehrere solcher Schichten enthaltendes Bauelement
US6326667B1 (en) * 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
TW452866B (en) * 2000-02-25 2001-09-01 Lee Tien Hsi Manufacturing method of thin film on a substrate
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US7226504B2 (en) * 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6583000B1 (en) * 2002-02-07 2003-06-24 Sharp Laboratories Of America, Inc. Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US6562703B1 (en) * 2002-03-13 2003-05-13 Sharp Laboratories Of America, Inc. Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6699764B1 (en) * 2002-09-09 2004-03-02 Sharp Laboratories Of America, Inc. Method for amorphization re-crystallization of Si1-xGex films on silicon substrates

Also Published As

Publication number Publication date
US20030143783A1 (en) 2003-07-31
TW200302512A (en) 2003-08-01
TW580726B (en) 2004-03-21
CN1435862A (zh) 2003-08-13
CN1263089C (zh) 2006-07-05
JP2003229360A (ja) 2003-08-15
KR20030066387A (ko) 2003-08-09
US6746902B2 (en) 2004-06-08
KR100521708B1 (ko) 2005-10-14
US6780796B2 (en) 2004-08-24
US20040087119A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
JP4386333B2 (ja) 半導体基板の製造方法
US6515335B1 (en) Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7679141B2 (en) High-quality SGOI by annealing near the alloy melting point
US6562703B1 (en) Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
JP4238087B2 (ja) SiGeオンインシュレータ基板材料の製造方法
US6703293B2 (en) Implantation at elevated temperatures for amorphization re-crystallization of Si1-xGex films on silicon substrates
JP5259954B2 (ja) 基板上に歪層を製造する方法と層構造
US20060057403A1 (en) Use of thin SOI to inhibit relaxation of SiGe layers
JP2004014856A (ja) 半導体基板の製造方法及び半導体装置の製造方法
JP2006524426A5 (ja)
JP2005236272A (ja) 水素注入緩和SiXGe1−X層の欠陥を低減する低温アニール
JP2006080510A (ja) ホウ素またはヘリウムと、水素とともにシリコンを注入することによって、Ge含有量が高い緩和Si1−XGeX(0<x<1)層を形成する方法
JP2003234289A (ja) 歪み緩和膜の製造方法、および、歪み緩和膜を有する積層体
Lee et al. Mobility enhancement of SSOI devices fabricated with sacrificial thin relaxed SiGe

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090330

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090714

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090826

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090925

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131009

Year of fee payment: 4

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

LAPS Cancellation because of no payment of annual fees