JP2004014856A - 半導体基板の製造方法及び半導体装置の製造方法 - Google Patents

半導体基板の製造方法及び半導体装置の製造方法 Download PDF

Info

Publication number
JP2004014856A
JP2004014856A JP2002167399A JP2002167399A JP2004014856A JP 2004014856 A JP2004014856 A JP 2004014856A JP 2002167399 A JP2002167399 A JP 2002167399A JP 2002167399 A JP2002167399 A JP 2002167399A JP 2004014856 A JP2004014856 A JP 2004014856A
Authority
JP
Japan
Prior art keywords
film
sige film
silicon
substrate
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002167399A
Other languages
English (en)
Inventor
Takashi Ueda
上田 多加志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2002167399A priority Critical patent/JP2004014856A/ja
Priority to KR1020030035710A priority patent/KR100560357B1/ko
Priority to TW092115440A priority patent/TWI293478B/zh
Priority to CNB031411169A priority patent/CN1226775C/zh
Priority to US10/457,013 priority patent/US7084051B2/en
Publication of JP2004014856A publication Critical patent/JP2004014856A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/973Substrate orientation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】ウェハの処理能力を犠牲にすることなく、高品質の歪みシリコンチャネルを簡便に形成することができる半導体基板の製造方法を提供するとともに、NMOSのみならず、PMOSトランジスタの駆動能力をも向上させることができる半導体装置の製造方法を提供することを目的とする。
【解決手段】表面が(111)又は(110)の面方位のシリコン単結晶層からなる基板上面にSiGe膜を形成し、イオン注入及びアニール処理を行って前記基板内に埋め込み結晶欠陥を導入し、前記SiGe膜上に半導体膜を形成することからなる半導体基板の製造方法。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、半導体基板の製造方法及び半導体装置の製造方法に関し、より詳細には、SiGe膜を備えることにより歪を導入した高品質かつ高性能な半導体基板の製造方法及びこれを利用した半導体装置の製造方法に関する。
【0002】
【従来の技術】
半導体装置、とりわけCMOSデバイスでは時代の省資源化の要求に伴って低消費電力化が要求されるようになった。これまで、MOSトランジスタは、ゲート構造の微細化、ゲート膜の薄膜化により駆動能力を確保し、低電圧動作に対応してきた。
しかし、トランジスタ構造の微細化には、世代毎に大きな技術革新が必要で、費用投資と併せて、今後ますます開発サイドの負担が大きくなると予想される。
【0003】
そこで、MOS型電界効果トランジスタにおいて、トランジスタの微細化によらず、低電源電圧での駆動能力を確保する手法として、(1)完全空乏型SOIトランジスタ構造を採用してS値(サブスレショルド領域の電圧に対する電流の傾き)を小さくし、トランジスタの駆動能力を確保する方法、(2)ゲルマニウムを利用した歪みシリコンチャネル構造を採用して、トランジスタの駆動能力を向上させる手法が提案されている。
しかし、上記(1)のSOI型のトランジスタデバイスでは、完全空乏型SOI構造を必要とするため、50nm程度以下の薄膜SOI層にトランジスタを形成する必要があり、バルク基板を用いたトランジスタプロセス以上の高精度の加工技術が要求される。また、SOI層は、その下方を埋め込み酸化膜、周りを素子分離酸化膜で囲まれるため、バルク基板デバイスに使用する設計資産をそのままでは使用出来ないという課題がある。
【0004】
一方、上記(2)の歪みシリコンチャネル構造は、格子定数の異なるゲルマニウムを含有した共晶シリコン(以降「SiGe」又は「SiGe」と明記)の歪みを開放した層の上に、引っ張り歪みをもつシリコン層を形成し、これをトランジスタのチャネルに利用することで、トランジスタの駆動能力を向上させるものである。即ち、文献(IEDM Tech. Digest,1994,p373−376等)で知られているように、引っ張り歪みをもつシリコンでは、歪み無しシリコンに比較して電子の実効的な質量が軽くなり、移動度が向上するため、この特性を利用してトランジスタの駆動能力を向上させることができる。
【0005】
【発明が解決しようとする課題】
上述した歪みシリコンを、NMOSトランジスタに適用する先行技術が多数提案されている。例えば、特開平9−82944号公報では、図3に示されるように、シリコン基板51上に濃度勾配をもつバッファー層52を形成して、シリコンとSiGe膜の格子不整合により生じる格子歪みを開放し、その上部に格子定数の小さいシリコン層53を堆積し、歪みシリコンチャネルとして利用している。このMOSトランジスタでは、シリコン基板とSiGe膜の界面に転位発生を抑制し、格子不整合に起因したSiGe膜の応力を緩和するため、ゲルマニウムの濃度に勾配をもたせたバッファー層52が使用される。よって、このMOSトランジスタでは、緩やかな濃度勾配を形成する必要があり、結果的にμmオーダーの厚膜バッファー層が必要となる。
しかし、エピタキシャル成長を利用したSiGe膜は、単結晶性を確保するためには、通常数nm/分〜数十nm/分程度と堆積速度が小さく、堆積処理に長い時間を必要とし、ウェハの処理能力が低下するという課題がある。
【0006】
また、イオン注入を用いてSiGe膜の応力コントロールを行う技術は、例えば、特開2001−110725号公報に記載されている。
この方法では、図4(a)、(b)に示すようにシリコン基板61上にSiGe膜62を形成し、図4(c)に示すように、シリコン基板61とSiGe膜62との界面に酸素、窒素等の第1のイオン注入を行って固相成長防止のストッパー層64を形成する。その後、図4(d)に示すように、Ge、Si等の第2のイオンを注入してSiGe膜62の下方を所定の厚さだけ非晶質化し、図4(e)に示すように、アニールによって非晶質層65aを、結晶欠陥を低減した単結晶層66へ変換する。続いて、図4(f)に示すように、Ge、Si等の第3のイオンを注入してSiGe膜63の上層部を非晶質化し、再アニールによって非晶質層65bを、単結晶層66に変換している。
【0007】
つまり、この方法では、SiGe膜に対して、比較的質量の大きなイオン注入とアニールを複数回繰り返すことで、結晶の非晶質化と再結晶化との物理変換を行っている。したがって、製造工程が煩雑化するのみならず、物理的な変換のための非晶質化に起因して、最終的に得られる基板として、十分に結晶欠陥のない高品質の基板を得ることができないという課題がある。
本発明は上記課題に鑑みなされたものであり、ウェハの処理能力を犠牲にすることなく、高品質の歪みシリコンチャネルを簡便に形成することができる半導体基板の製造方法を提供するとともに、NMOSのみならず、PMOSトランジスタの駆動能力をも向上させることができる半導体装置の製造方法を提供することを目的とする。
【0008】
【課題を解決するための手段】
本発明によれば、表面が(111)又は(110)の面方位のシリコン単結晶層からなる基板上にSiGe膜を形成し、イオン注入及びアニール処理を行って前記基板内に埋め込み結晶欠陥を導入し、前記SiGe膜上に半導体膜を形成することからなる半導体基板の製造方法が提供される。
また、上記により形成される半導体基板表面に、オゾン又は酸素のラジカルを用いてゲート酸化膜を形成することからなる半導体装置の製造方法が提供される。
【0009】
【発明の実施の形態】
本発明の半導体基板の製造方法では、まず、表面が(111)又は(110)の面方位のシリコン単結晶層からなる基板上面にSiGe膜を形成する。
基板としては、表面が(111)又は(110)面方位を有しているものであれば、シリコン単結晶基板のみならず、そのようなシリコン単結晶層が配置されたSOI構造基板であってもよい。ここで(111)面又は(110)面の基板を用いるのは、(100)面結晶に比較し、単位格子あたりの原子密度が(111)面では1.16倍、(110)面では1.42倍であるため、結晶欠陥からのびる転位線が成長しにくいからである。したがって、最終的に、後述する結晶欠陥からSiGe膜表面への転位線成長を抑制し、高品質の無歪みのSiGe膜を確保することができる。
【0010】
シリコン基板上に形成されるSiGe膜は、歪みエネルギーが開放されておらず、圧縮応力をもつ。つまり、シリコン基板上に形成されるゲルマニウム膜は、通常、約4%の格子不整合性を有しており、これに起因して、下地のシリコン基板から圧縮応力を受け、SiGe膜に圧縮応力及びは歪み形態の結晶を内在する。なお、(111)又は(110)面の基板上に堆積されるSiGe膜は、通常、下地の基板面方位を保って(111)又は(110)面を有する単結晶で堆積される。
【0011】
SiGe膜は、公知の方法、例えば、CVD法、スパッタ法、真空蒸着法、MEB法等の種々の方法により形成することができる。なかでも、CVD法によるエピタキシャル成長法により形成することが好ましい。この場合の成膜条件は、当該分野で公知の条件を選択することができ、特に、成膜温度は、例えば、700℃程度以下、さらに550℃以下が好ましい。なお、できるだけ高Ge濃度の膜を厚く堆積して、SiGe膜に歪みエネルギーを蓄積するためには、低温での堆積温度が必要である。
このSiGe膜においては、Geの濃度は特に限定されるものではないが、例えば、10〜50原子%程度、好ましくは10〜40原子%が挙げられる。なお、Geの濃度は、膜厚方向及び層表面方向(面内方向)に連続的又は段階的に傾斜して変化していてもよいが、均一であることが好ましい。
【0012】
SiGe膜の膜厚は、後の歪み緩和アニール工程で発生するSiGe膜とシリコン基板との界面のすべり転位が、その上に形成するであろう半導体装置、例えばMOSFETに悪影響を及ぼさないように設定することが必要である。例えば、圧縮応力をもつSiGe膜の臨界膜厚は、ゲルマニウム濃度と堆積温度との関数で決定され、臨界膜厚を越える膜厚を採用した場合には、シリコン基板とSiGe膜との界面で応力開放に起因したミスフィット転位が発生し、その表面にクロスハッチ状の転位線が生じ、これに起因して、この上に形成される半導体膜等の結晶品質が低下する。したがって、臨界膜厚よりも薄いことが好ましい。具体的には、10〜500nm程度が挙げられる。特に、後工程においてPN接合を形成することを考慮すると、SiGe膜の膜厚は300nm以上が好ましい。
なお、本発明においては、SiGe膜を形成する前に、基板上に、シリコン膜を形成してもよい。このシリコン膜は、シリコン単結晶膜であることが好ましく、その上に形成されるSiGe膜の異常成長による局所的なヒロックやボイドを抑制する機能を有する。シリコン膜の形成は、上記と同様の方法で形成することができる。シリコン膜の膜厚は、例えば、5〜50nm程度が適当である。
【0013】
次いで、イオン注入及びアニール処理を行う。
イオン注入は、イオンが通過したSiGe膜には結晶欠陥を残留させず、イオンが停止する平均飛程(Rp)の直前に核阻止能が最大になって平均飛程(注入ピーク)付近に結晶欠陥を導入し、後述するアニールを含む工程で、デバイスに電気的に影響を与えないイオン種を選択することが好ましい。したがって、質量の小さい元素、特に、水素又はヘリウムが好ましい。つまり、軽い元素をイオン注入することにより、注入領域に対して、非晶質化することなく、埋め込み結晶欠陥を導入することができる。
【0014】
なお、イオン注入により結晶欠陥を導入する技術として、貼り合わせSOIウェハであるUNIBONDへの水素イオン注入を適用することで、微細欠陥を導入し、これを、続くアニール処理で欠陥の集合体に成長させ、SOIウェハをスマートカットする技術(脆性破断技術)が、IEICE Trans. Electron., E80−C, 358 (1997)に記載されている。ここでは、スマートカットのために、1016cm後半のドーズが適用されているが、本発明では、結晶欠陥の導入のみを目的とするため、スマートカットが生じることなく、結晶欠陥を導入できるドーズとして1016cm前半、1〜5×1016cm程度であることが好ましい。
【0015】
イオン注入されるイオンの平均飛程(Rp)は、シリコン基板上に堆積したSiGe膜の膜厚より深く又はシリコン基板上にシリコン膜を形成し、その上にSiGe膜を形成している場合には、シリコン膜とSiGe膜との合計の膜厚よりも深く設定することが有効である。また、後述するイオン注入後のアニールで形成される埋め込み結晶欠陥の位置と、SiGe膜表面の結晶欠陥に相関が認められている。さらに、埋め込み結晶欠陥から転位線がSiGe膜に渡って伸びることが確認されている。従って、埋め込み結晶欠陥の位置がSiGe膜とシリコン基板との界面又はシリコン膜とシリコン基板との界面から下方に深く設定することで、SiGe膜表面まで延伸する結晶欠陥の低減化が図れる。一方、Rpが浅い場合には、Rp近傍の埋め込み結晶欠陥の発生に加えて、この埋め込み結晶欠陥により、シリコン基板/SiGe膜界面又はシリコン基板/シリコン膜界面に2次欠陥が新たに発生し、貫通転位のみならずSiGe膜表面の平坦性が低下する。したがって、結晶欠陥によるSiGe膜の歪み開放と表面の結晶欠陥低減との逆の相関挙動を考慮して、イオン注入の平均飛程は、シリコン基板/SiGe膜界面又はシリコン基板/シリコン膜界面から下方300nmまでの範囲に設定することが望ましい。このようなことから、イオン注入の加速エネルギーは、用いるイオン種、SiGe膜の膜厚、シリコン膜の有無及びその膜厚等によって適宜調整することができ、例えば、20〜150keV程度、好ましくは30〜35keV程度の注入エネルギーが挙げられる。
【0016】
熱処理は、例えば、炉アニール、ランプアニール、RTA等が挙げられ、不活性ガス雰囲気(アルゴン等)、大気雰囲気、窒素ガス雰囲気、酸素ガス雰囲気、水素ガス雰囲気等下で、700〜950℃の温度範囲で、10〜30分間程度行うことができる。
なお、本発明においては、上記のようにイオン注入及びアニールした後、さらに、その上に、第2のSiGe膜を形成し、最終的に、SiGe膜を複数の積層膜として形成してもよい。ここで形成する第2のSiGe膜は、上記と同様の方法で、同様の膜厚で形成することができる。この第2のSiGe膜は、Geの濃度が同一の又は異なる複数の積層膜として形成してもよい。
【0017】
さらに、SiGe膜上に、半導体膜を形成する。半導体膜は、歪み緩和されたSiGe膜上に形成されるため、歪が内在している。半導体層は、シリコンと同様のダイヤモンド構造を有するものであれば特に限定されるものではなく、例えば、Si、SiC又は上記SiGe膜よりもGe濃度が低いSiGe膜等が挙げられる。なかでも、シリコン膜が好ましい。SiCにおけるC濃度は、特に限定されるものではなく、例えば、0.1〜7atom%程度が挙げられる。また、SiGeにおけるGe濃度は、10atom%程度以下が適当である。半導体膜は、SiGe膜と同様の方法で形成することができ、例えば、成長ガスを切り替えて、SiGe膜に引き続いて、同一装置内で形成することが好ましい。これにより、SiGe膜の表面の酸素等の汚染を低減することができる。この場合の基板温度は、400〜650℃程度が好ましい。半導体膜の膜厚は、後の半導体装置の製造工程での膜減り及びSiGe膜からのGeの拡散等を考慮して、厚膜であることが好ましいが、一方、SiGe膜の歪み緩和工程後に発生する半導体膜の引っ張り歪みによる欠陥発生を抑制するため、臨界膜厚以下の膜厚で形成することが好ましい。なお、SiGe膜のゲルマニウム濃度が高いほど薄く、後に行うであろう半導体装置の製造プロセスにおける熱処理温度が高いほど薄くすることが好ましい。膜厚は、具体的には、1〜100nm程度、より好ましくは5〜30nm程度が挙げられる。なお、この半導体膜は、組成が同一の又は異なる複数の積層膜として形成してもよい。
【0018】
これにより、応力が開放され、転位のないSiGe膜を簡便に形成でき、その上に形成される半導体膜は、良質で十分な歪をもつ歪半導体膜とすることができ、得られた基板を用いて半導体装置を形成する場合には、目標とするキャリアの移動度向上を達成することができる。
【0019】
また、本発明においては、このようにして得られた半導体基板の半導体膜を活性層(チャネル)として用いて、この半導体膜の表面を、オゾン又は酸素のラジカルを用いたラジカル酸化に付すことにより、ゲート酸化膜を形成する。つまり、これまでは、(111)面方位シリコンのゲート酸化膜の電気的絶縁特性が乏しいことが問題であったが、近年、IEDM Tech. Dig.,p249. 1999に報告された、ラジカル酸化技術に代表される新規酸化技術の採用で、低絶縁破壊耐圧特性にとぼしかった(111)面のゲート酸化膜の膜特性を改善することができる。よって、ラジカル酸化の条件等は、この文献で報告されたものにしたがって選択することができる。
なお、ゲート酸化膜の形成後は、公知の方法によりゲート電極を形成した後、イオン注入によりソース/ドレイン領域を形成することにより、半導体装置を完成することができる。また、この半導体装置は、LDD構造、DDD構造を有していてもよい。これにより、電気的特性の優れた半導体装置を得ることができる。
【0020】
このように形成された引っ張り歪みをもつ(111)面方位の半導体層(例えば、シリコン層)のキャリア移動度は、(100)面方位の無歪みシリコンのそれに比較して、NMOSで約1.5倍、PMOSで約2倍となる。
以下、本発明の半導体基板の製造方法及び半導体装置の製造方法を、図面に基づいて詳細に説明する。
【0021】
実施の形態1
まず、図1(a)に示すように、結晶面方位(111)の単結晶のシリコン基板1を、公知の方法により洗浄し、このシリコン基板1上に、25原子%のGe濃度の歪みを有するSiGe膜2を300nmの膜厚で、520℃にてエピタキシャル成長させた。
【0022】
次に、図1(b)に示すように、シリコン基板1に、イオンの注入飛程(Rp)が歪みSiGe膜2とシリコン基板1との界面からシリコン基板1側に到達するように、つまり、界面から50nmの深さに、水素イオン3を30keVのエネルギー、3×1016cmのドーズでイオン注入した。このイオン注入により、注入基板の表面側の結晶を破壊せず、欠陥を残留させることなく、Rp近傍に微小欠陥4が導入される。
続いて、図1(c)に示すように、シリコン基板1を、不活性雰囲気下、800℃の温度で10分間アニール処理した。この処理により、シリコン基板1側に導入された微小欠陥4は、欠陥集合体5に変換される。
【0023】
つまり、イオン注入によって導入された微小欠陥によってシリコンの共有結合のボンド間角度が部分的に変化し、エネルギー的に高い状態になる。このエネルギー的に高い状態になった微小欠陥部に格子間に残存する水素が捕獲され、アニールでの熱エネルギーでシリコンと反応してSi−H結合を生じ、Si−Si結合が順次切断されるループを繰り返して欠陥集合体5が形成されると考えられる。そして、欠陥集合体5の形成に伴って、シリコン基板本体と、欠陥−SiGe膜界面間のシリコン領域が結晶としては、“切り離された”ことになる。この“切り離されたシリコン領域”において、このシリコン層の格子エネルギーより、隣接するSiGe膜2の格子不整合に伴う歪みエネルギーが大きくなると、アニールでの熱エネルギーを授受してSiGe膜2の歪みエネルギーが開放され、無歪みのSiGe膜6に変換される。つまり、SiGe膜の歪み開放は、上記、欠陥−SiGe界面間に“切り離されたシリコン層”領域の格子エネルギーと、SiGe膜2の格子歪みエネルギーの大小で決定されることになる。従って、SiGe膜2の格子歪み開放だけを考える場合、切り離された欠陥−SiGe膜界面間のシリコン領域厚はできるだけ小さい方がよい。つまり、図1(b)の注入イオンの飛程Rpは、SiGe膜とシリコン基板1との界面から、できるだけ浅い方がよいことになる。
【0024】
一方、アニールによって変換生成した欠陥集合体5からは、一部貫通転位線がSiGe膜2側に伸びることが確認されている。この転位線をSiGe膜6の表面まで延伸させないためには、▲1▼SiGe膜2を厚く堆積するか、▲2▼欠陥集合体5をSiGe膜2とシリコン基板1との界面から離して生成させる、等の対策が考えられる。しかし、▲1▼の対策ではその臨界膜厚から限界があり、▲2▼の対策を取らざるをえない。従って、注入イオンの飛程Rpは、上述したSiGeの歪み開放(シリコン厚の薄膜化)とその表面欠陥低減(シリコン厚の厚膜化)のトレードオフの関係から決定されることになる。
【0025】
このように処理、作製した基板を用いて、X線回折分析法(XRD)により、無歪みのSiGe膜6の歪み開放の程度を分析したところ、歪みエネルギーが90%開放され、ほぼ無歪み状態のSiGe膜に変換されていることが確認できた。また、ノルマルスキー位相差顕微鏡や走査型電子顕微鏡(SEM)での分析で、無歪みのSiGe膜6表面には、欠陥集合体5から延伸する貫通転位線がほぼ無視しうる程度に少ないことが確認できた。
【0026】
次いで、図1(d)に示すように、無歪みSiGe膜6が形成されたシリコン基板1上に、膜厚20nm程度のシリコン単結晶膜7を、700℃の温度にてエピタキシャル成長させた。ここでは、出発基材に(111)面方位のシリコン基板を用いているので、面方位が逐次転写され、このシリコン単結晶膜7の面方位も(111)となる。
シリコン単結晶膜7の膜歪みの有無は、このシリコン単結晶膜7の格子エネルギーと直下の無歪みSiGe膜6のそれとの大小で決定される。デバイスへの適用のために、シリコン単結晶膜7は引っ張り歪みをもつことが必要である。
【0027】
これにより、表面に歪みシリコン膜が形成された半導体基板を作製することができた。
続いて、図1(e)に示すように、得られたシリコン基板1を使用して、公知の方法により素子分離層8を形成した。また、得られたシリコン基板1の表面を、Krと酸素との混合ガスを400℃でプラズマ化したプラズマシース内で4.5nm酸化し、ゲート酸化膜9を形成した。このようなラジカル酸化を採用することにより、(111)面シリコンの課題であった、ゲート酸化膜の耐圧特性を向上させることができる。
【0028】
その後、図1(f)に示すように、公知の方法によりゲート電極10、ソース/ドレイン領域11を形成し、引っ張り歪みシリコンチャネルをもつMOSトランジスタを作製した。
得られたトランジスタについてキャリアの移動度を評価したところ、表1に示すように、電子及びホールの移動度とも、歪み無しシリコンチャネルトランジスタに比較し、向上していることが確認された。
【0029】
【表1】
Figure 2004014856
【0030】
実施の形態2
まず、図2(a)に示すように、結晶面方位(111)の単結晶のシリコン基板21aを公知の方法により洗浄して、シリコン基板21a上にシリコン単結晶膜21bを5nmと、25原子%のGe濃度を有する歪みSiGe膜22を300nm、エピタキシャル成長させた。ここでは、堆積温度520℃を使用した。なお、上記実施の形態1との相違点として、シリコン単結晶膜21bを堆積しているが、これはSiGeの異常成長による局所的なヒロックやボイドを抑制するためである。また、上述した例ではシリコン基板上にシリコン膜を堆積したが、実施例1と同様にシリコン基板上に直接25原子%のゲルマニウムを含有する歪みSiGe膜22を300nm、エピ成長させてもよい。
【0031】
次に、図2(b)に示すように、得られたシリコン基板21aに、水素イオン23をSiGe膜22の界面からシリコン界面下50nm程度に注入飛程をもつ第1のイオン注入条件:30keVと、100nm程度に注入飛程をもつ第2のイオン注入条件:38keVでイオン注入し、シリコン基板21a内部に、イオン注入に起因する2層の微小欠陥24を導入した。注入量は、それぞれのエネルギー毎に、2×1016cmとした。
【0032】
ここで、微小欠陥24を2層に積層化する目的は、SiGe膜界面に近いRpをもつ第1のイオン注入の注入量を低減し、次工程で発生する貫通転位の密度を低すること、第1の注入量低減に伴って低下するSiGe膜22の応力開放効果を第2のイオン注入で補足することにある。
続いて、図2(c)に示すように、得られたシリコン基板21aを、不活性雰囲気下、800℃の温度で10分間アニール処理した。この処理により、シリコン基板21a側に導入された微小欠陥24が、欠陥集合体25に変換される。同時にアニールでの熱エネルギーを授受してSiGe膜22の歪みエネルギーが開放され、無歪みのSiGe膜26aに変換される。
【0033】
次いで、図2(d)に示すように、無歪みのSiGe膜26a上に、第2のSiGe膜26bをエピタキシャル成長させ、連続シーケンス処理で、さらにその上に、図2(e)に示すように、シリコン単結晶膜27をエピタキシャル成長させた。
この場合、下地のSiGe膜26aがすでに歪み開放されているため、第2のSiGe膜26bは、(111)の面方位を転写した歪み開放SiGe膜、シリコン単結晶膜27は、引っ張り歪みをもつ歪みシリコン膜となる。この連続処理での第2のSiGe膜26bの堆積の追加によって、エピタキシャル成長のシード面となるSiGe膜26aの表面まで延伸した貫通転位の消滅化が進行するため、ほぼ転位が無いシリコン単結晶膜27の形成に寄与できる。
【0034】
なお、膜堆積の温度制約は第1のSiGe膜26aの堆積時に比較し、自由度が大きが、SiGe膜26a、26bからシリコン膜21b側へのゲルマニウム原子の熱拡散が生じるため、高温での膜堆積には温度制約が生じる。堆積温度は、500〜800℃の範囲であれば問題が無い。本実施例では、520℃を採用し、SiGe膜26bを300nm、シリコン単結晶膜27を20nm堆積した。
これにより、表面に歪みシリコン膜が形成された半導体基板を作製することができた。
このシリコン基板21aを用いて、実施の形態1と同様にトランジスタを作製し、キャリアの移動度を評価したところ、電子及びホールの移動度とも、実施の形態1と同等の改善効果が確認された。
【0035】
【発明の効果】
本発明によれば、(111)又は(110)面の原子密度の高いシリコン層を有する基板を採用し、圧縮歪みSiGe膜を堆積し、イオン注入及びアニールによる埋め込み結晶欠陥の導入とSiGe膜の圧縮歪みの開放、引っ張り歪み半導体膜の堆積の一連の製造方法により、応力を開放した転位のないSiGe膜が簡便に形成でき、その上層に堆積される半導体膜に、十分かつ高品質の引っ張り歪みを与えることができる。
【0036】
また、このような半導体基板を用いて、ラジカル酸化によりゲート絶縁膜を形成し、半導体装置を作製する場合には、ゲート酸化膜質の劣化を回避して、ゲート酸化膜の電気的絶縁特性を向上させることができ、(100)面方位の無歪み半導体基板に対して、格段にキャリア移動度に向上させることができ、従来のデバイスでは達成できない、低電圧動作、低消費電力の半導体装置を提供することが可能となる。
【図面の簡単な説明】
【図1】本発明の半導体基板の製造方法を説明するための要部の概略断面製造工程図である。
【図2】本発明の別の半導体基板の製造方法を説明するための要部の概略断面製造工程図である。
【図3】従来の半導体装置を示す要部の概略断面図である。
【図4】従来の半導体基板の製造方法を示す要部の概略断面工程図である。
【符号の説明】
1、21a シリコン基板
2、22 歪SiGe膜
3、23 水素イオン
4、24 微小欠陥
5、25 欠陥集合体
6、26a 無歪SiGe膜
7、21b、27 シリコン単結晶膜
8 素子分離層
9 ゲート酸化膜
10 ゲート電極
11 ソース/ドレイン領域
21b シリコン単結晶膜
26b 第2のSiGe膜

Claims (13)

  1. 表面が(111)又は(110)の面方位のシリコン単結晶層からなる基板上にSiGe膜を形成し、イオン注入及びアニール処理を行って前記基板内に埋め込み結晶欠陥を導入し、前記SiGe膜上に半導体膜を形成することからなる半導体基板の製造方法。
  2. さらに、SiGe膜上に半導体膜を形成する前に、第2のSiGe膜を形成する請求項1に記載の方法。
  3. さらに、SiGe膜を形成する前に、基板上に、シリコン膜を形成する請求項1又は2に記載の方法。
  4. SiGe膜が単結晶膜である請求項1〜3のいずれか1つに記載の方法。
  5. SiGe膜を、膜厚10〜500nmで形成する請求項1〜4のいずれか1つに記載の方法。
  6. SiGe膜が、10〜50原子%のGe濃度を有する請求項1〜5のいずれか1つに記載の方法。
  7. SiGe膜の形成温度が700℃以下である請求項1〜6のいずれか1つに記載の方法。
  8. イオン注入を、水素又はヘリウムを用いて行う請求項1〜7のいずれか1つに記載の方法。
  9. イオン注入を、平均飛程が基板内部に達するように行う請求項1〜8のいずれか1つに記載の方法。
  10. イオン注入を、異なる平均飛程になるように複数回行う請求項1〜9のいずれか1つに記載の方法。
  11. イオン注入を、1×1016〜5×1016/cm2 の範囲の注入量で行う請求項1〜10のいずれか1つに記載の方法。
  12. アニール処理を650〜950℃の温度で行う請求項1〜11のいずれか1つに記載の方法。
  13. 請求項1〜12のいずれか1つにより形成される半導体基板表面に、オゾン又は酸素のラジカルを用いてゲート酸化膜を形成することからなる半導体装置の製造方法。
JP2002167399A 2002-06-07 2002-06-07 半導体基板の製造方法及び半導体装置の製造方法 Pending JP2004014856A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002167399A JP2004014856A (ja) 2002-06-07 2002-06-07 半導体基板の製造方法及び半導体装置の製造方法
KR1020030035710A KR100560357B1 (ko) 2002-06-07 2003-06-03 반도체 기판의 제조 방법 및 반도체 장치의 제조 방법
TW092115440A TWI293478B (en) 2002-06-07 2003-06-06 Manufacturing method for semiconductor substrate and manufacturing method for semiconductor device
CNB031411169A CN1226775C (zh) 2002-06-07 2003-06-09 半导体衬底的制造方法和半导体器件的制造方法
US10/457,013 US7084051B2 (en) 2002-06-07 2003-06-09 Manufacturing method for semiconductor substrate and manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002167399A JP2004014856A (ja) 2002-06-07 2002-06-07 半導体基板の製造方法及び半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2004014856A true JP2004014856A (ja) 2004-01-15

Family

ID=29996422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002167399A Pending JP2004014856A (ja) 2002-06-07 2002-06-07 半導体基板の製造方法及び半導体装置の製造方法

Country Status (5)

Country Link
US (1) US7084051B2 (ja)
JP (1) JP2004014856A (ja)
KR (1) KR100560357B1 (ja)
CN (1) CN1226775C (ja)
TW (1) TWI293478B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006135319A (ja) * 2004-11-01 2006-05-25 Internatl Business Mach Corp <Ibm> ヘテロ集積型歪みシリコンn型MOSFET及びp型MOSFET及びその製造方法
JP2006522469A (ja) * 2003-03-10 2006-09-28 フォルシュングスツェントルム・ユーリッヒ・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング 格子整合されなかった基板上に応力緩和層構造を形成する方法及び電子素子及び/又は光導電素子内でのこのような層組織の使用
JP2008504695A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造)
WO2009058450A1 (en) * 2007-10-29 2009-05-07 Synopsys, Inc. Method for trapping implant damage in a semiconductor substrate
US8504969B2 (en) 2007-10-26 2013-08-06 Synopsys, Inc. Filler cells for design optimization in a place-and-route system
US9472423B2 (en) 2007-10-30 2016-10-18 Synopsys, Inc. Method for suppressing lattice defects in a semiconductor substrate

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004014856A (ja) 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
DE10314989A1 (de) * 2003-04-02 2004-10-14 Robert Bosch Gmbh Verfahren zur Herstellung von mikromechanischen Strukturen sowie mikromischanische Struktur
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
JP4219838B2 (ja) * 2004-03-24 2009-02-04 シャープ株式会社 半導体基板の製造方法、並びに半導体装置の製造方法
US20050236616A1 (en) * 2004-04-26 2005-10-27 Horng-Huei Tseng Reliable semiconductor structure and method for fabricating
DE102004031710B4 (de) * 2004-06-30 2007-12-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen unterschiedlich verformter Halbleitergebiete und Transistorpaar in unterschiedlich verformten Halbleitergebieten
US7157355B2 (en) * 2004-06-30 2007-01-02 Freescale Smeiconductor, Inc. Method of making a semiconductor device having a strained semiconductor layer
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
KR100705939B1 (ko) 2004-08-26 2007-04-11 주식회사 하이닉스반도체 반도체 웨이퍼 제조 방법
KR100849177B1 (ko) 2005-01-04 2008-07-30 삼성전자주식회사 패싯 채널들을 갖는 모스 트랜지스터를 채택하는 반도체집적회로 소자들 및 그 제조방법들
JP2006270000A (ja) * 2005-03-25 2006-10-05 Sumco Corp 歪Si−SOI基板の製造方法および該方法により製造された歪Si−SOI基板
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
CN101326646B (zh) * 2005-11-01 2011-03-16 麻省理工学院 单片集成的半导体材料和器件
FR2896255B1 (fr) * 2006-01-17 2008-05-09 Soitec Silicon On Insulator Procede d'ajustement de la contrainte d'un substrat en un materiau semi-conducteur
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
WO2009035063A1 (en) * 2007-09-14 2009-03-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic appliance
US7977221B2 (en) * 2007-10-05 2011-07-12 Sumco Corporation Method for producing strained Si-SOI substrate and strained Si-SOI substrate produced by the same
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
DE102008062685A1 (de) * 2008-12-17 2010-06-24 Siltronic Ag Halbleiterscheibe mit einer SiGe-Schicht und Verfahren zur Herstellung der SiGe-Schicht
EP2415083B1 (en) 2009-04-02 2017-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
KR20120107762A (ko) 2011-03-22 2012-10-04 삼성전자주식회사 반도체 소자의 제조 방법
KR101259000B1 (ko) * 2011-07-08 2013-04-29 단국대학교 산학협력단 박막의 물성을 변화시키는 방법
FR2986369B1 (fr) * 2012-01-30 2016-12-02 Commissariat Energie Atomique Procede pour contraindre un motif mince et procede de fabrication de transistor integrant ledit procede
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US11171039B2 (en) * 2018-03-29 2021-11-09 Taiwan Semiconductor Manufacturing Company Ltd. Composite semiconductor substrate, semiconductor device and method for manufacturing the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5893221A (ja) * 1981-11-30 1983-06-02 Toshiba Corp 半導体薄膜構造とその製造方法
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2551353B2 (ja) * 1993-10-07 1996-11-06 日本電気株式会社 半導体装置及びその製造方法
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (ja) * 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
US5738731A (en) * 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
JP2964925B2 (ja) * 1994-10-12 1999-10-18 日本電気株式会社 相補型mis型fetの製造方法
JPH0982944A (ja) 1995-09-18 1997-03-28 Toshiba Corp 歪シリコン電界効果トランジスタ及びその製造方法
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6091077A (en) * 1996-10-22 2000-07-18 Matsushita Electric Industrial Co., Ltd. MIS SOI semiconductor device with RTD and/or HET
JP2877108B2 (ja) * 1996-12-04 1999-03-31 日本電気株式会社 半導体装置およびその製造方法
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6432104B1 (en) * 1998-04-15 2002-08-13 Scimed Life Systems, Inc. Electro-cautery catherer
JP3273037B2 (ja) 1999-10-12 2002-04-08 株式会社日立製作所 ヘテロ構造半導体多層薄膜の製造方法
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6437375B1 (en) * 2000-06-05 2002-08-20 Micron Technology, Inc. PD-SOI substrate with suppressed floating body effect and method for its fabrication
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6846727B2 (en) * 2001-05-21 2005-01-25 International Business Machines Corporation Patterned SOI by oxygen implantation and annealing
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
JP2003249641A (ja) * 2002-02-22 2003-09-05 Sharp Corp 半導体基板、その製造方法及び半導体装置
JP2004014856A (ja) 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522469A (ja) * 2003-03-10 2006-09-28 フォルシュングスツェントルム・ユーリッヒ・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング 格子整合されなかった基板上に応力緩和層構造を形成する方法及び電子素子及び/又は光導電素子内でのこのような層組織の使用
JP2008504695A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造)
JP2006135319A (ja) * 2004-11-01 2006-05-25 Internatl Business Mach Corp <Ibm> ヘテロ集積型歪みシリコンn型MOSFET及びp型MOSFET及びその製造方法
US8504969B2 (en) 2007-10-26 2013-08-06 Synopsys, Inc. Filler cells for design optimization in a place-and-route system
WO2009058450A1 (en) * 2007-10-29 2009-05-07 Synopsys, Inc. Method for trapping implant damage in a semiconductor substrate
US9472423B2 (en) 2007-10-30 2016-10-18 Synopsys, Inc. Method for suppressing lattice defects in a semiconductor substrate

Also Published As

Publication number Publication date
KR100560357B1 (ko) 2006-03-14
US20040018701A1 (en) 2004-01-29
TW200403720A (en) 2004-03-01
KR20030095252A (ko) 2003-12-18
CN1226775C (zh) 2005-11-09
US7084051B2 (en) 2006-08-01
CN1467795A (zh) 2004-01-14
TWI293478B (en) 2008-02-11

Similar Documents

Publication Publication Date Title
JP2004014856A (ja) 半導体基板の製造方法及び半導体装置の製造方法
US7915148B2 (en) Method of producing a tensioned layer on a substrate
US7485539B2 (en) Strained semiconductor-on-insulator (sSOI) by a simox method
JP4386333B2 (ja) 半導体基板の製造方法
JP4716733B2 (ja) 歪みシリコン・オン・インシュレータ(ssoi)を形成する方法
US7396747B2 (en) Hetero-integrated strained silicon n- and p-MOSFETs
US7825470B2 (en) Transistor and in-situ fabrication process
JP5065676B2 (ja) 基板上に歪層を製造する方法及び層構造
JP2006524426A5 (ja)
US20080179712A1 (en) Structure and method to form semiconductor-on-pores (sop) for high device performance and low manufacturing cost
WO2004012243A9 (en) Selective placement of dislocation arrays
TW200407977A (en) Method for improving a semiconductor substrate having SiGe film and semiconductor device manufactured by using this method
JP2004103805A (ja) 半導体基板の製造方法、半導体基板及び半導体装置
US20030077882A1 (en) Method of forming strained-silicon wafer for mobility-enhanced MOSFET device
US20050217566A1 (en) Method for producing one or more monocrystalline layers, each with a different lattice structure, on one plane of a series of layers
JP4289864B2 (ja) 半導体装置及び半導体装置製造方法
JP3933405B2 (ja) 半導体基板、半導体装置及びそれらの製造方法
JP2004055943A (ja) 半導体装置とその製造方法
US6911380B2 (en) Method of forming silicon on insulator wafers
US20040206951A1 (en) Ion implantation in channel region of CMOS device for enhanced carrier mobility
US7429749B2 (en) Strained-silicon for CMOS device using amorphous silicon deposition or silicon epitaxial growth
JPS62235726A (ja) 半導体装置の製造方法
WO2015188461A1 (zh) 一种嵌入式锗硅应变pmos器件结构的制作方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081111

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090407