JP4222929B2 - チップ・キャリア - Google Patents

チップ・キャリア Download PDF

Info

Publication number
JP4222929B2
JP4222929B2 JP2003409522A JP2003409522A JP4222929B2 JP 4222929 B2 JP4222929 B2 JP 4222929B2 JP 2003409522 A JP2003409522 A JP 2003409522A JP 2003409522 A JP2003409522 A JP 2003409522A JP 4222929 B2 JP4222929 B2 JP 4222929B2
Authority
JP
Japan
Prior art keywords
carrier
semiconductor element
interface
substrate
element groups
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003409522A
Other languages
English (en)
Other versions
JP2004193614A (ja
Inventor
マイケル・パトリック・チャドズィック
ロバート・エイチ・デナード
ラマ・ディヴァカルニ
ブルース・ケネス・ファーマン
ラジャラオ・ジャミイ
チャンドラセカー・ナラヤン
サムパス・プルショサマン
ジョセフ・エフ・シェパード・ジュニア
アンナ・ワンダ・トポル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004193614A publication Critical patent/JP2004193614A/ja
Application granted granted Critical
Publication of JP4222929B2 publication Critical patent/JP4222929B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/16Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor
    • H05K1/162Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor incorporating printed capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01055Cesium [Cs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/16Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor
    • H05K1/167Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor incorporating printed resistors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/09654Shape and layout details of conductors covering at least two types of conductors provided for in H05K2201/09218 - H05K2201/095
    • H05K2201/09809Coaxial layout
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4602Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は集積回路、素子、および他の半導体素子を接続するシリコン・キャリア構造体への受動素子の集積化に関する。(以下ではチップ・キャリアのことを単にキャリアともいう。)
先進電子コンピューティング・システムでは、デカップリング(減結合)キャパシタが、常に同時回路スイッチングを伴う瞬間電流サージを支える電荷貯蔵器として機能している。デカップリング・キャパシタはオンチップで、そしてシングル・チップ・モジュール、マルチ・チップ・モジュール、ボード、およびバックプレーンを含むすべてのレベルのパッケージングで用いられている。また、これらの受動素子は同時回路スイッチング・ノイズ(すなわちΔI〔デルタI〕ノイズ)を低減するために集積回路(IC)用の電力分配システムで必要とされている。しかしながら、高周波における十分なデカップリング容量値を支持する能力に対してオンチップ・システムとオフチップ・システムの双方において関心が高まりつつある。チップ・レベルにおけるより小さなデバイス寸法とより速い回路速度を求める絶え間ない規模変更によって、より高いパッケージング密度が求められるようになったが、増大しつつあるデカップリングに付随するジレンマに対する新たな解決策も必要とされている。
特に、デカップリング・キャパシタは十分な容量値を有さねばならないだけでなく、高速化する回路速度に起因する短いクロック・サイクル時間に適合する時間尺度で利用可能である必要もある。マイクロプロセッサ・ユニット、特に携帯コンピューティングと通信で必要なマイクロプロセッサ・ユニットで必要な電力効率が増大した結果、問題がさらに悪化した。提案されている解決策にクロック・ゲーティング(チップの使用していない部分のクロック回路をオフにする機能)がある。しかし、これにより、スイッチング・イベント数が劇的に増大するとともに、Iノイズがきわめて大きくなる結果、新たな問題が生じる。ΔIノイズに付随する電圧のゆらぎを望みどおりに減衰させるためには、デカップリング容量素子とともに減衰抵抗素子も一緒に組み込む必要がある。したがって、これらの問題をすべて扱うとともに様々な容量素子と抵抗素子をプロセッサ回路に近接させてより効率的に集積化することのできる新たな解決策が必要とされている。1つの解決策では、米国特許第5811868号に記載されているように、デカップリング・キャパシタをチップの基板に組み込んでいる。
現在使用されている受動デカップリング素子は一般に、薄膜セラミック技術もしくは厚膜セラミック技術、シリコン上に形成した薄膜、または大きなパッケージに組み込んだいくつかの小さな個別表面マウント素子に基づいている。電子パッケージ用途の場合、現在使用されている個別キャパシタの寄生インダクタンスは近い将来用に提案されている高周波回路または高速回路で使用するのに十分なほどには小さくない。プリント配線板またはモジュールに受動素子を埋め込むことができるようになった結果(集積化受動素子〔integrated passive: IP〕)、以前は個別受動素子を配置していた場所に現在では他の素子を配置できるようになり、そのためのマウント用のスペースが広くなっている。薄膜ベースのIP素子は高周波性能が高く、かつ素子集積化が容易である。これらの素子はキャリアの材料と製造プロセスに適合した技術を用いて製造する必要がある。また、将来の高性能に対する要求に適合するために、デカップリング素子は素子チップのクロック・サイクル時間に適合した時間枠内で電気的にアクセスしうる必要がある。
米国特許第5811868号
プロセッサ・チップにこれらの素子を構築すると許容できるアクセス時間が実現するが、これらの高性能チップに構築する必要のある能動回路からチップ領域を奪うことなる。したがって、適切な特性を備えたデカップリング・キャパシタと抵抗器を実現し、チップ上の素子回路がチップのクロック・サイクルに近接した時間内で利用しうる場所にそれらを配置するより優れた解決策が必要とされている。
本発明はチップ上に関連する回路素子と近接させて受動回路素子(たとえばキャパシタと抵抗器)を集積化させるのを可能にする構造体の設計、製造、および結果として得られる構造体に関する。特に、本発明はマイクロエレクトロニクス・チップ・パッケージにマウントされた個別受動素子の遅いアクセス時間に付随する困難を克服するものである。本発明は能動回路素子と受動素子を低インダクタンスかつ低抵抗で集積化する手段を提供する。特に、本発明の目的は高周波かつ高速のコンピューティング用途用の受動素子を備えた集積化キャリア用の構造体を提供することである。本発明の別の目的はキャパシタおよび/または抵抗器を組み合わせてシリコンを基にしたチップ・キャリア内に受動素子を集積化した完全に高密度の相互接続構造体を形成することである。(「Aおよび/またはB」は「AおよびB、A、またはB」を表わす。)本発明のさらに別の目的は低インダクタンス入出力手段(たとえば領域アレイはんだバンプ)によってチップ上の回路とキャリア上の受動素子との間の電気アクセス時間を高速化することである。
高性能コンピューティング・システムの部品を形成している高速微細電子回路チップはきわめて多数のトランジスタを備えており、したがってチップ同士の通信を可能にするきわめて多数の入力/出力(I/O)接続部と高密度の相互接続配線を必要とする。多層セラミック基板と印刷配線板は低度から中程度の性能のチップ用にこの接続部を実現しうるが、微細接合コンタクト(micro joint contact)を用いて様々な技術のチップを相互接続することができ、きわめて高いチップ間配線密度(<5μmピッチ)を可能にするシリコンを基にしたキャリアが近年提案された。微細接合コンタクトは、本出願人に係る、米国特許公開公報2003−0137058号、米国特許公開公報2003−0136813号、米国特許公開公報2003−0136814号に記載されている。本発明の意図は、Siキャリアを受動素子用の支持体としても使用することである。このような解決策によって、遠くに設けたパッケージ上の個別容量素子と比べて、デカップリング・キャパシタを電気的にチップ近くに集積化することが可能になり、同時にデカップリング・キャパシタをチップから離しチップ領域を能動回路用に空けておくことが可能になる。
抵抗性デカップリング構成要素と容量性デカップリング構成要素を組み込んだ改良されたチップ・キャリアの等価電気回路を図1に示す。2本の垂直の破線で囲まれた回路部分がシリコン・キャリア100を表わしている。シリコン・キャリアの構成要素には構造要素(相互接続配線、スルー・ビア〔スルー・バイア〕、およびI/Oパッド)の形状特性と物理特性に付随する寄生抵抗値Rpおよび寄生インダクタンスLp、ならびに本発明に係る方法によって導入されるキャリアの受動要素(容量性要素Ccおよび抵抗性要素Rc)がある。図1において、図の左端は遠くに設けられたデカップリング容量値Crおよびその固有インダクタンスLを備えたパッケージ101を表わしている。Siキャリア領域の右には、合計非スイッチング容量値Cn(たとえばオンチップのデカップリング素子およびSRAM素子)およびスイッチング容量値によってチップ102が示されている。クロック・ゲーティングと(多くのサイクルにわたって継続する)共振効果を考慮に入れる必要があるから、所定サイクルにおける合計スイッチング容量値は変化する。したがって、Cs1 、Cs2 、・・・、Csn は(たとえばいくつかのクロック・バッファやそれに対応するラッチの駆動に関係する)様々な回路要素の変化するスイッチング容量値を表わしている。
キャリアはシリコン・スルー・ビアを備えている。これにより、このようなキャリアおよび他の装置を多数収容することができ、それらに電力を分配する第2レベルのパッケージングに接続するのが可能になる。それらを貫通する完全にメタライズしたビアを備えたこのようなパッケージング・インタフェース基板ウェーハを製造る方法の例は、本出願人に係る、2002年11月7日に出願された、米国特許出願第10/290049号明細書に記載されている。チップ間の単なる相互接続基板である、この従来技術のシリコン・キャリア構造体の概略を図2に示す。それは絶縁材料220で保護され導電材料230で充填されたスルー・ビア・ホール210を備えたシリコン基板200から成る。このようなビアの製造方法は米国特許第6221769号に記載されている。
これらのスルー・ビアの底面にははんだで形成されたC4(controlled collapse chip connection)ボール240が配置されており、次レベルのパッケージングへの接続が容易になっている。表面には導電材料(たとえばアルミニウムや銅)で形成された相互接続配線250とビア260が配置されており、微細接合パッド270のアレイによってキャリアに取り付けられた集積回路チップ102間の通信を実現するために使用されている。これにより、チップとキャリアとの間の高密度の入力/出力(I/O)およびチップ間の高密度の配線が容易になっている。キャリア中の様々な構築物は次に示す既存の処理方法を用いて構築する。すなわち、リソグラフィ、RIE(reactive ion etching)、スピン・オン・コートによる誘電体の堆積、プラズマ増強堆積、PVD(physical vapor deposition)またはCVD(chemical vapor deposition)による導電材料の堆積、電気めっきおよび反応性シンタリング、CMP(chemical mechanical polishing)による平坦化である。
本発明の第1の実施形態はデカップリング・キャパシタを付加することにより従来技術のシリコン・キャリアを改良するものである。これら受動素子へのキャリア上にマウントされたチップからのアクセスは、微細接合I/O接続部を通じて可能になっている。深いトレンチ・キャパシタの形をしたデカップリング・キャパシタ・アレイはスルー・ビア構築物で占有されていない、シリコン・キャリアの表面領域に構築する。図3はこのような構造体の概略を示す図である。この実施形態は図2に示す従来技術の構築物をすべて備えており、さらにシリコン・スルー・ビア210の近傍に形成された深いトレンチ・デカップリング・キャパシタ構造体3010を備えている。これらの深いトレンチ3010を形成するのに使用する最新のプロセス(たとえばリソグラフィやRIEなど)によって、最大40:1のアスペクト比が可能になっている。これは幅の40倍の深さを有するトレンチを意味する。本発明に係るプロセスで使用するトレンチは幅が100nm〜1000nmであり、深さ/幅の比(アスペクト比)が2〜50である。
したがって、トレンチ・キャパシタを用いることは基板の小さな領域を利用して大容量値を実現できる独自の解決策である。この実施形態の別の主要な特徴はデカップリング・キャパシタが、キャリアにマウントしたチップ上の回路から微細接合I/Oパッドを通じてきわめて短時間でアクセスしうる点である。微細接合パッドのインダクタンスが小さいこと、およびキャリア上の短い相互接続で可能になっている信号速度が速いことによって、そのアクセス時間を上述した別の種類のオフチップの受動素子にアクセスする時間と比べてはるかに速くすることができる。トレンチ・キャパシタの2つの可能な選択肢を図4と図5に詳細に示す。
金属−絶縁体−金属(すなわちMIM)と呼ばれる、トレンチ・キャパシタの第1の選択肢の概略を図4に示す。まず、導電性が良好でシリコンと適合性のある金属を堆積してトレンチ表面とトレンチ上表部を下敷きし、下部電極を3080を形成する。現在、ALD(atomic layer deposition:原子層堆積)とCVD(chemical vapor deposition)によりこの深いトレンチ構造体にW、Ti、Ta、Co、これらの窒化物および珪化物、Zr、Hf、SiGeなどの材料を堆積することができる。しかし、他の導電材料も使用することができる。膜の適合性(conformability)は、トレンチの幅とアスペクト比に依存する。次いで、高誘電率膜3020、たとえば窒化シリコン、シリコン・オキシナイトライド、酸化タンタル、酸化チタン、酸化アルミニウム、酸化ジルコニウム、酸化ハフニウム、チタン酸バリウム・ストロンチウム、チタン酸バリウム・ジルコニウムなどを当技術分野で知られた方法(たとえばCVD、ALD、陽極酸化など)によって堆積する。次いで、トレンチ・キャパシタの上部導電性電極3030を堆積する。これには、W、Ta、Ti、Cu、Ni、Pt、Co、Nb、Mo、V、Zr、Pt、Ir、Re、Rh、もしくはこれらの組み合わせ、もしくはこれらの合金などの金属、または単なる多結晶シリコン(現在の技術水準)を用いることができる。そして、ALD、CVD、めっき、PVD(physical vapor deposition)またはこれらの方法の組み合わせによって堆積することができる。次いで、層3080(下部電極)と層3030(上部電極)に対するコンタクト3090をリソグラフィとエッチングによってパターニングして最終のデカップリング構造体を形成するとともに、スルー・ビア210または相互接続ビア260を通じて接続を実現する。これにより、キャパシタ・アレイを相互接続構造体に配線することが可能になる。
第2の実施形態では、図5に概略を示す金属−絶縁体−シリコン(MIS)と呼ばれる、トレンチ・キャパシタから成る第2の選択肢を使用する。図4に示したMIM選択肢の場合と同様に、図5のMIS型トレンチ・キャパシタもシリコン・キャリア表面のスルー・ビアの間のスペースに形成する。MIS選択肢では、トレンチ3010を形成したのち、イオン打ち込み工程とアニール工程を実施してトレンチの表面と上表部の導電率を高める。これにより、高濃度にドープした「ウェル」領域3080’を形成する。このウェル3080’はこの構造体では下部電極として機能する。ドーパントは1018〜1021原子/cm3 の濃度にイオン打ち込みして低抵抗率(<0.01オーム・cm)を達成する。ドーピング領域の深さは50nm〜500nmの範囲で変化する。下部導電層を形成するのに使用しうるドーパントはAs、P、B、およびこれらの組み合わせである。
プロセス・フローの残りは適合金属プロセスをドープト・シリコンと接触するように選定する必要がある点を除いて、MIMプロセス・フローと同一である。MIS選択肢によると下地形状に忠実な第1の金属層が必要なくなるとともにプロセスが簡単になるが、図5の高濃度にドープしたシリコン3080’の抵抗率は図4で使用した金属層3030の抵抗率より大きいから、性能が若干低下する。用途によっては、ドープトSiを基にした下部電極を形成するのに他の方法を用いることができる。すなわち、下部電極として機能するキャリアのボディとして高濃度にドープしたSiウェーハを同時に使用することができる。他の選択肢としては、すべてのトレンチの回りに(イオン打ち込みとアニールによって)高濃度にドープしたSi領域から成る「スキン(skin)」を形成するもの、および必要な場合にはすべての深いトレンチに「ストラップ(strap)」を接続するものがある。そのようなストラップはシリコン表面より下の深さに形成する。
(第1の実施形態および第2の実施形態に由来する)トレンチ・キャパシタならびにシリコン・キャリアの相互接続構築物(すなわちスルー・ビア、相互接続ビア、配線など)を形成する手順はプロセス、様々な工程に必要なサーマル・バジェット(thermal budget)などの容易性によって決まる(サーマル・バジェットとは、ウェーハに加える温度の時間積分値のことである)。一般に、トレンチ・キャパシタの形成工程を最初に実行するのが好ましい。なぜなら、トレンチ・キャパシタの形成工程は相互接続層で使用する導体に適合しない高温と特別の堆積ツール(たとえば高誘電率絶縁体の堆積)を必要とするからである。深いトレンチ層を形成するのにより低い温度のプロセスを使用する場合には、プロセス工程を逆にしてスルー・ビアを最初に形成してもよい。この開示の主要な特徴は形成する構造体(すなわち機能を付加した、スルー・ビアを有するキャリア)がファウンドリ技術(すなわち量産工場で使われている技術)を使用している点(たとえばメモリ・チップの製造で広く用いられているプロセスを使用して深いトレンチを形成している)、および、最先端のCMOS BEOLと比べて配線相互接続用の基本原則がきわめて緩やかな点である(BEOL(back end of line)とはチップ上に配線を施す工程のことである)。これにより、低コストの製造が可能になる。
本発明の第3の実施形態を図6に示す。図6では、デカップリング・キャパシタをSiキャリアのスルー・ビアを用いて構築している。改良されたシリコン・キャリア構造体のこの構成では、キャリアの相互接続配線中の特定のコンタクト場所4090’に接続されたいくつかのスルー・ビア410’を用いて集積化したデカップリング・キャパシタを構築している。これは次のようにして実現している。すなわち、これらのビア410’の内壁に形成した高誘電率(k≧7)の被覆を用いてキャパシタ誘電体とし、コンタクト4090’に接続された導電性充填物430’をキャパシタの一方のプレートとして使用し、高濃度にドープしたシリコン基板領域4080’を他方のプレートとして使用することにより集積化キャパシタを形成している。無論、図7に示すスルー・ビアを基にしたキャパシタを備えた第4の実施形態も可能である。図7では、図6の高濃度ドープのシリコン領域4080’の代わりに金属コンタクト4080”を下部電極として使用している。図6と図7に示すこれらスルー・ビアを基にしたキャパシタは上述した実施形態である深いトレンチのデカップリング・キャパシタと別々に形成してもよいし、それらと一緒に形成してもよい(図8および図9をそれぞれ参照)。したがって、本発明の第5の実施形態は本発明の第1の実施形態または第2の実施形態のトレンチ・キャパシタ構造体を第3の実施形態または第4の実施形態で説明したスルー・ビアを基にしたデカップリング・キャパシタ構造体に付加したものである。
図10は本発明に係る構成の第6の実施形態を表わす、抵抗素子を備え改良されたシリコン・キャリア構造体の概略を示す図である。集積化した抵抗素子の目的はΔIノイズに付随する電圧ゆらぎを減衰させることである。通常、電圧ゆらぎを減衰させるために回路に局所化した個別抵抗器を使用すると、電力消費が増大する懸念が生じる。本発明は局所化した抵抗器とそれらの局所化した発熱と電力消費に関連する課題を、キャリア全体(ドープしたシリコン基板)を抵抗素子として使用することにより解消する。特に、この実施形態では、従来技術のキャリア(図2)のシリコン基板200を、利用するチップ中の様々な電圧基準レベルの間に抵抗素子を形成しうるのに適切な抵抗率を有するように特別にドープしたシリコン基板500で置き換えている。ウェーハ500の表面を絶縁体5020’で被覆したのち、開口を形成し抵抗性シリコン基板と特定の相互接続ビア560’との間にコンタクト5090’を形成する。同様に、Si基板と特定のC4はんだボール540’との間にコンタクト5090”’を形成する。これにより、次のレベルのパッケージ(図示せず)中の電圧基準面への接続が可能になる。特定のC4ボール540’と対応する表面コンタクト5090”との間のシリコン・ウェーハの部分によって、集積化した抵抗素子が形成される。図11に示すように、この抵抗素子はスルー・ビア構成に基づく容量素子とともにシリコン・キャリアに組み込むことができる。あるいは、図12に示すように、この抵抗素子は深いトレンチ構成に基づく容量素子とともにシリコン・キャリアに組み込むことができる。あるいは、図13に示すように、この抵抗素子は両方の容量素子の組み合わせとともにシリコン・キャリアに組み込むことができる。(これらの容量構造体の詳細は本発明の第1の実施形態〜第4の実施形態について上述したものと同じである。)抵抗素子の組み込みに起因して観察される電圧降下は電源電圧値を高めることによって補償することができ、それによりチップ中の回路の適切な動作を維持することができる。
抵抗素子の構築については他の変形例も可能てある。C4コンタクト5090”’と相互接続ビア・コンタクト5090”との間のSi領域の抵抗率をC4接続部の抵抗率を最大にした傾斜プロファイル(すなわちキャリアの厚さ方向のドーピング・レベルを異ならせたプロファイル)とすることができる。この種の構造形状の場合、この解決策では高抵抗率素子(約0.2オーム・cm)を電源と直列に、低抵抗値素子(0.1〜0.01ミリオーム)をキャパシタと直列に組み込む。この構成では、たとえばエピSi層(1〜5μm)を組み込むことができる。所定の構成では、低ドープ領域の金属への良好なオーミック・コンタクトの要件を満たす必要がある。また、抵抗性膜(たとえば窒化タンタル、タンタル、Ni−Cr合金、Cr−SiO2 複合材料など)で形成した終端抵抗器も任意実行事項として配線構造体に集積化することができる。これにより、所望の合計抵抗値を微細に制御することが可能になる。
抵抗素子Rの特性は使用する基板の電気特性の関数である。上述したように、所望の抵抗値は基板を適切に選択することにより達成できる(傾斜イオン打ち込みと抵抗値プロファイル、個別薄膜終端抵抗器)。他方、容量素子Cの性能は選定した幾何形状(スルー・ビア壁またはトレンチ・アレイ)、下部電極の形成に使用した材料(キャリアの高濃度ドープしたシリコン・ボディ、金属層、または金属シリサイド層)、誘電体薄膜層(窒化シリコンまたは高誘電率材料)、および上部金属電極(表面パッドに接続された金属充填ビア)によって決まる。(金属電極と高濃度ドープの電極を使用することによる)低抵抗値と適切な容量値とを組み合わせると、許容できる低RC遅延定数が実現する。これにより、アクセス時間が速くなる。また、容量素子と抵抗素子をキャリアに集積化するとともに高性能の相互接続配線250、ビア260、560’、および低インダクタンスの微細接合270を通じてアクセスしているから、アクセス時間はモジュールまたはボードにマウントした個別キャパシタを使用する場合よりはるかに小さい。
抵抗器Rcとデカップリング・キャパシタCc(図1)の値を適切に調製することにより、スイッチング・イベントに付随するIサージに付随する電圧ゆらぎ(電圧の揺れ)を低減することができる。また、経路長を短くし、チップ上の能動素子間の相互接続線中の信号速度を速め(すなわちLp値とRp値を最小にし)、キャリア上の受動素子(CcとRc)を最適に使用することにより、電気性能を最適化することができる。Rc抵抗値を1.5ミリ・オームにし、受動容量Cc値を1μF/cm2 より大きくすることにより、回路の応答時間を約100ピコ秒にすることができる。これにより、ノイズ・レベルが33%低減する。
上述したように、本発明に係る構造体の重要な側面はキャリアの表面に受動素子を構築し、当該表面を相互接続、I/O、およびデカップリング機能用に共用することにより、高密度のチップ・キャリアの機能を改良する点である。これにより、受動素子を形成するためにチップ上の貴重なスペースを使用する必要がなくなるから、そのチップ領域を能動素子用に使用することができるようになる。あるいは、チップ当りの能動素子数が決められている場合には、チップ・サイズを小さくすることができるから、ウェーハ当りのチップの歩留りを向上させてチップ当りのコストを低減することができる。単純な集積化方式を用いコスト効率の良好なCMOS互換プロセスを使用して(抵抗器、キャパシタ、接地、および信号線の領域を1回のリソグラフィとエッチング工程、それに続くビア充填と配線レベルの構築ですべて画定することができる)、受動素子と相互接続配線をキャリア上に組み込むことができる。したがって、結果として得られるキャリアは付加価値の高い部品であり、単一のシリコン・キャリア上に独自の機能を備え最適化したチップをいくつかマウントすることにより、複雑で高性能なシステムを集積化することが可能になる。しかし、付加する機能を受動素子のみに限定する必要はない。キャリアの表面(すなわちキャリアの上部表面および下部表面の双方)に他の光学回路素子および電気回路素子を形成することができる。これにより、その機能性を向上させることができる。
パッケージからキャリアに付加された素子へのアクセス時間をより速くするために、そしてキャリアのスルー・ビアをより効率的に使用するために、これらの素子の下部電極の下部表面をこれらの素子より下に配置された、キャリア中のビアに直接に接続しうるようにする。この接続はキャリアの上部表面のパッドへの接続に付加することになる。この実施形態の概略を図14に示す。付加した素子6010の上部電極を相互接続ビア660”に接続し、下部電極の上部表面を相互接続ビア670に接続する。そして、下部電極6090”’へのコンタクトはキャリアの下部から伸びるビア610”に接続することによっても実現する。ビア610”は誘電体層620”と導電層630”を備えている。キャリア中の素子の下部電極6090”’用に使用する導電材料の選定によって、ドープしたシリコン領域(本発明の第2の実施形態)または他の導電材料(本発明の第1の実施形態)と接触することになる。キャリア上に形成した素子へのチップ側からのアクセスはビア660”、670によって行う。これにより、経路長が短くなるとともにインダクタンスが小さくなる。機能素子の下部電極をキャリアの下部表面に直接に接続するこの選択肢は、キャリアの厚さがより小さな値に到達するにつれ、すなわち素子の下部電極からキャリアの下部表面への接続長がキャリアのスルー・ビアの合計長の大きな部分を占めるようになるのにつれ、より重要になる。
上述した実例と実施形態は説明を目的としたものであり、マイクロエレクトロニクスの分野における当業者にとって他の変形例も可能である。本発明に係るキャリアは他の種類の半導体素子を支持するのにも使用することができる。また、本発明に係るチップ・キャリアはメモリ・アレイを形成するのにも使用することができる。メモリ・アレイは構造とプロセス工程がトレンチ・キャパシタ・アレイときわめてよく似ている。これらのメモリ・アレイはスルー・ビア間のキャリア領域をデカップリング素子と共用することができる。このようなメモリ・アレイはパッケージにマウントしたメモリ・ブロックと比べて短時間でアクセス可能であるから、システム・レベルの性能が向上する。キャリアの相互接続と微細接合I/Oは速い信号速度をサポートしうるから、チップに組み込むメモリの一部の代わりにこれらのメモリ・バンクを使用することができる。ここでも、これによりチップ領域を計算論理素子用に有効に使うことができる。あるいは、所定の論理素子に対してチップ・サイズを縮小することができる。チップがマウントされている場所より下方のキャリア上にメモリ・ブロックを選択的に配置することにより、チップ中の論理素子はきわめて速いアクセス時間でメモリ・ブロックを利用してデータ格納オペレーションやデータ取得オペレーションを実行することができる。これにより、マルチチップ・システム・レベルにおける性能が向上する。
本発明はシリコンを基にしたキャリアの使用に基づいている。この種の材料が提案されたのは現在のCMOS技術と適合するからである。また、シリコン・プロセスに対して様々な特化した方法が開発された。たとえば、高密度で詰め込まれた深さ数百マイクロメートルのビアをパターニングする能力によって、Si技術は現在使用されているセラミック・パッケージング技術に優越するようになった。しかしながら、本発明の焦点はキャリアに付加した機能に当てられている。本発明で教示される概念は本発明の本旨内で他のキャリアに機能を付加するのに用いることができる。たとえば、本発明に係る方法は将来の光電子工学素子の構造に適用することができる。そのような場合、第1にキャリアを形成するのに使用する材料の種別を他の材料、たとえばサファイア、石英、ガリウム・ヒ素、インジウム・リン、および有機材料に置き換えることができるとともに、特定の用途に合わせて選定する。第2に、機能性キャリアは光電子工学構造体(たとえば3次元回路積層体)の重要な部品になりうる。これにより、複雑な多機能システム(または多機能素子)および混合技術システム(または混合技術素子)を単一のキャリア上に集積化しうるようになる。
まとめとして以下の事項を開示する。
(1)
半導体素子群を相互接続するキャリアであって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースと、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースと、
前記第1のインタフェースと前記第2のインタフェースの間に設けられた基板であって、前記基板中に構築され前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板と、
前記基板に構築された少なくとも1つの受動素子と、
前記少なくとも1つの受動素子と前記半導体素子および/または前記一のレベルのパッケージングとを接続する、前記第1のインタフェースに関連付けられた1組の導電素子と
を備えた、
半導体素子群を相互接続するキャリア。
(2)
前記1組の導電素子が、前記少なくとも1つの受動素子と前記半導体素子および前記一のレベルのパッケージングとを、高速充放電を行って高速応答時間を実現する経路を形成する電気的近接接続状態で接続する少なくとも1つのコンタクト・パッド、相互接続ビア、および/または相互接続配線を備えている、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(3)
前記少なくとも1つのビアが低誘電率の絶縁体で被覆され導電材料で充填されて導電素子を形成している、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(4)
前記低誘電率の絶縁体が、酸化シリコン、非晶質水素添加窒化シリコン、炭化シリコン、Si、C、O、およびHを含む非晶質膜、ならびにこれらの組み合わせから成る群から選択した材料から成る、
上記(3)に記載の、半導体素子群を相互接続するキャリア。
(5)
前記基板がシリコンから成る、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(6)
前記半導体素子が微細接合入出力コンタクトによって前記第1のインタフェースに接続されている、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(7)
前記第2のインタフェースがC4ボールによって前記一のレベルのパッケージングに接続されている、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(8)
前記少なくとも1つの受動素子がデカップリング・キャパシタから成る、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(9)
前記デカップリング・キャパシタがトレンチ・キャパシタから成る、
上記(8)に記載の、半導体素子群を相互接続するキャリア。
(10)
前記トレンチ・キャパシタが、
前記基板の表面から前記基板中に伸びるトレンチから成るアレイ
を備え、
前記トレンチが、第1の導電材料、高誘電率の絶縁体、および第2の導電材料で下敷きされてトレンチ・キャパシタを形成している、
上記(9)に記載の、半導体素子群を相互接続するキャリア。
(11)
前記トレンチの幅が100nm〜1000nmであり、深さ対幅の比が2〜50である、
上記(10)に記載の、半導体素子群を相互接続するキャリア。
(12)
前記第1の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、およびこれらの組み合わせ、ならびにCu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせから成る群から選択されている、
上記(10)に記載の、半導体素子群を相互接続するキャリア。
(13)
前記高誘電率の絶縁体が、窒化シリコン、シリコン・オキシナイトライド、酸化アルミニウム、窒化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、チタン酸バリウム・ストロンチウム、チタン酸バリウム・ジルコニウム、およびこれらの組み合わせから成る群から選択されている、
上記(10)に記載の、半導体素子群を相互接続するキャリア。
(14)
前記第2の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、ならびに、Cu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせ、ならびに多結晶シリコンから成る群から選択されている、
上記(10)に記載の、半導体素子群を相互接続するキャリア。
(15)
前記深いトレンチ・キャパシタが金属−絶縁体−金属構造のトレンチ・キャパシタから成る、
上記(9)に記載の、半導体素子群を相互接続するキャリア。
(16)
前記深いトレンチ・キャパシタが金属−絶縁体−シリコン構造のトレンチ・キャパシタから成る、
上記(9)に記載の、半導体素子群を相互接続するキャリア。
(17)
前記金属−絶縁体−金属構造のトレンチ・キャパシタが、
前記基板中に伸びるトレンチから成るアレイと、
前記トレンチの表面に金属被覆を堆積することにより形成した下部導電層と
を備え、
前記金属被覆したトレンチが高誘電率の絶縁体および第3の導電材料によって下敷きされてトレンチ・キャパシタを形成している、
上記(15)に記載の、半導体素子群を相互接続するキャリア。
(18)
前記金属−絶縁体−シリコン構造のトレンチ・キャパシタが、
前記基板中に伸びるトレンチから成るアレイと、
前記基板をドープすることにより形成した下部導電層と
を備え、
前記ドープしたトレンチが高誘電率の絶縁体および第3の導電材料によって下敷きされてトレンチ・キャパシタを形成している、
上記(16)に記載の、半導体素子群を相互接続するキャリア。
(19)
前記デカップリング・キャパシタが、さらに、
ビアの内壁に金属被膜を堆積することにより形成した下部導電層と、
前記ビアの前記金属被覆した壁に下敷きを形成する、高誘電率の絶縁体から成る被膜と、
前記ビアの内部に存在する導電性充填物と
を備え、
前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記誘電体下敷きと、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記金属被膜とで形成されている、
ビアを基にしたキャパシタである、
上記(8)に記載の、半導体素子群を相互接続するキャリア。
(20)
前記デカップリング・キャパシタが、さらに、
ビアの内壁上に存在する高誘電率の絶縁体から成る被膜と、
前記ビアの内部に存在する導電性充填物と、
前記ビアに隣接する前記基板から成る高濃度ドープ領域と
を備え、
前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記誘電体被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記高濃度ドープ領域とで形成されている、
ビアを基にしたキャパシタである、
上記(8)に記載の、半導体素子群を相互接続するキャリア。
(21) さらに、
少なくとも1つの、ビアを基にしたキャパシタであって、
ビアの内壁上に存在する高誘電率の絶縁体から成る被膜と、
前記ビアの内部に存在する導電性充填物と、
前記ビアに隣接する前記基板から成る高濃度ドープ領域と
を備え、
前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記誘電体被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記高濃度ドープ領域とで形成されている、
キャパシタを備えた、
上記(9)に記載の、半導体素子群を相互接続するキャリア。
(22)
前記少なくとも1つの受動素子が、さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えている、
上記(1)に記載の、半導体素子群を相互接続するキャリア。
(23) さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えている、
上記(9)に記載の、半導体素子群を相互接続するキャリア。
(24)
前記抵抗素子が抵抗率を傾斜させて構築されている、
上記(22)に記載の、半導体素子群を相互接続するキャリア。
(25)
前記傾斜させた抵抗率が前記第2のインタフェースへ向かうにつれて大きくなっている、
上記(24)に記載の、半導体素子群を相互接続するキャリア。
(26) さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えた、
上記(8)に記載の、半導体素子群を相互接続するキャリア。
(27) さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えた、
上記(21)に記載の、半導体素子群を相互接続するキャリア。
(28)
半導体素子群を相互接続するキャリアであって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースと、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースと、
前記第1のインタフェースと前記第2のインタフェースの間に設けられた基板であって、前記基板中に構築され前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板と、
前記基板の表面から前記基板内に伸び素子として機能するように適合しているトレンチから成るアレイと、
前記トレンチ素子を相互接続するとともに前記トレンチ素子と前記半導体素子とを接続する、前記第1のインタフェースに関連付けられた1組の導電素子と
を備えた、
半導体素子群を相互接続するキャリア。
(29)
半導体素子群を相互接続するキャリアであって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースと、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースと、
前記第1のインタフェースと前記第2のインタフェースの間に設けられた基板であって、前記基板中に構築され前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板と、
前記基板の表面から前記基板内に伸び素子として機能するように適合しているトレンチから成るアレイと、
前記基板に形成された少なくとも1つのメモリ・セルと、
前記メモリ・セルと前記半導体素子とを接続する、前記第1のインタフェースに関連付けられた1組の導電素子と
を備えた、
半導体素子群を相互接続するキャリア。
(30) さらに、
前記少なくとも1つのメモリ・セルと前記一のレベルのパッケージングとを直接に接続しうるように前記少なくとも1つのメモリ・セルに接続された少なくとも1つの副ビア
を備えた、
上記(29)に記載の、半導体素子群を相互接続するキャリア。
(31)
半導体素子群を相互接続するキャリアであって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースと、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースと、
前記第1のインタフェースと前記第2のインタフェースの間に設けられた基板であって、前記基板中に構築され前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板と、
前記基板に設けられた少なくとも1つの光電子工学構造体と、
前記光電子工学構造体と前記半導体素子とを接続する、前記第1のインタフェースに関連付けられた1組の導電素子と
を備えた、
半導体素子群を相互接続するキャリア。
(32) さらに、
前記少なくとも1つの光電子工学構造体と前記一のレベルのパッケージングとを直接に接続しうるように前記少なくとも1つの光電子工学構造体に接続された少なくとも1つの副ビア
を備えた、
上記(31)に記載の、半導体素子群を相互接続するキャリア。
(33)
前記1組の導電素子が、少なくとも1つのコンタクト・パッド、相互接続ビア、および/または相互接続配線を備えている、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(34)
前記基板がシリコンから成る、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(35)
前記半導体素子が微細接合入出力コンタクトによって前記第1のインタフェースに接続されている、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(36)
前記第2のインタフェースがC4ボールによって前記一のレベルのパッケージングに接続されている、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(37) さらに、
前記トレンチ素子と前記一のレベルのパッケージングとを直接に接続しうるようにトレンチから成る前記アレイに接続された少なくとも1つの副ビア
を備えた、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(38)
トレンチから成る前記アレイが第1の導電材料、高誘電率の絶縁体、および第1の導電材料で下敷きされて深いトレンチ・キャパシタを形成している、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(39)
前記トレンチの幅が100nm〜1000nmであり、深さ対幅の比が2〜50である、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(40)
前記第1の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、およびこれらの組み合わせ、ならびにCu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせから成る群から選択されている、
上記(38)に記載の、半導体素子群を相互接続するキャリア。
(41)
前記高誘電率の絶縁体が、窒化シリコン、シリコン・オキシナイトライド、酸化アルミニウム、窒化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、チタン酸バリウム・ストロンチウム、チタン酸バリウム・ジルコニウム、およびこれらの組み合わせから成る群から選択されている、
上記(38)に記載の、半導体素子群を相互接続するキャリア。
(42)
前記第2の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、ならびに、Cu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせ、ならびに多結晶シリコンから成る群から選択されている、
上記(38)に記載の、半導体素子群を相互接続するキャリア。
(43)
前記深いトレンチ・キャパシタが金属−絶縁体−金属構造のトレンチ・キャパシタから成る、
上記(38)に記載の、半導体素子群を相互接続するキャリア。
(44)
前記金属−絶縁体−金属構造のトレンチ・キャパシタが、
前記基板中に伸びるトレンチから成るアレイと、
前記トレンチの表面に金属被覆を堆積することにより形成した下部導電層と
を備え、
前記金属被覆したトレンチが高誘電率の絶縁体および第3の導電材料によって下敷きされてトレンチ・キャパシタを形成している、
上記(43)に記載の、半導体素子群を相互接続するキャリア。
(45)
前記深いトレンチ・キャパシタが金属−絶縁体−シリコン構造のトレンチ・キャパシタから成る、
上記(38)に記載の、半導体素子群を相互接続するキャリア。
(46)
前記金属−絶縁体−シリコン構造のトレンチ・キャパシタが、
前記基板中に伸びるトレンチから成るアレイと、
前記基板をドープすることにより形成した下部導電層と
を備え、
前記ドープしたトレンチが高誘電率の絶縁体および第3の導電材料によって下敷きされてトレンチ・キャパシタを形成している、
上記(45)に記載の、半導体素子群を相互接続するキャリア。
(47) さらに、
ビアの内壁上に存在する高誘電率の絶縁体から成る被膜と、
前記ビアの内部に存在する導電性充填物と、
前記ビアに隣接する前記基板から成る高濃度ドープ領域と
を備えた、
少なくとも1つのビアを基にしたキャパシタを備え、
前記デカップリング・キャパシタがキャパシタ誘電体として機能する前記誘電体被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記高濃度ドープ領域とで形成されている、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(48) さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えた、
上記(28)に記載の、半導体素子群を相互接続するキャリア。
(49)
前記抵抗素子が抵抗率を傾斜させて構築されている、
上記(48)に記載の、半導体素子群を相互接続するキャリア。
(50)
前記傾斜させた抵抗率が前記第2のインタフェースへ向かうにつれて大きくなっている、
上記(49)に記載の、半導体素子群を相互接続するキャリア。
(51) さらに、
ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
を備えた
抵抗素子を備えた、
上記(47)に記載の、半導体素子群を相互接続するキャリア。
(52)
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法であって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースを構築する工程と、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースを構築する工程と、
前記第1のインタフェースと前記第2のインタフェースの間に、前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板を構築する工程と、
前記基板に少なくとも1つの受動素子を形成する工程であって、前記受動素子は前記第1のインタフェースおよび前記第2のインタフェースに接続されており、前記第2のインタフェースへの前記接続部が前記少なくとも1つのビアを通じるものである、工程と
を備えた、
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(53)
前記基板がシリコンから成る、
上記(52)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(54)
前記少なくとも1つの受動素子がデカップリング・キャパシタから成る、
上記(52)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(55)
前記受動素子を形成する前記工程が、
前記基板にトレンチから成るアレイをエッチングして形成する工程と、
前記トレンチを第1の導電材料,高誘電率の絶縁体、および第2の導電材料で下敷きしてトレンチ・キャパシタを形成する工程と
を備えている、
上記(52)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(56)
前記第1の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、およびこれらの組み合わせ、ならびにCu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせから成る群から選択されている、
上記(55)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(57)
前記高誘電率の絶縁体が、窒化シリコン、シリコン・オキシナイトライド、酸化アルミニウム、窒化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、チタン酸バリウム・ストロンチウム、チタン酸バリウム・ジルコニウム、およびこれらの組み合わせから成る群から選択されている、
上記(55)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(58)
前記第2の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、ならびに、Cu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせ、ならびに多結晶シリコンから成る群から選択されている、
上記(55)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(59)
前記受動素子を形成する前記工程が、
前記基板にトレンチから成るアレイをエッチングして形成する工程と、
前記基板をドーパントでドープして下部導電層を形成する工程と、
前記トレンチを高誘電率の絶縁体および第2の導電材料で下敷きして金属−絶縁体−基板構造のトレンチ・キャパシタを形成する工程と
を備えている、
上記(52)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(60)
前記基板をドープする前記工程が、
前記トレンチの表面、前記トレンチの表面下の少し深い部分、およびトレンチ上部において前記基板をドープする工程
を備えている、
上記(59)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(61)
前記基板をドープする前記工程が、
前記キャリアのボディとして高濃度にドープしたシリコン・ウェーハを使用する工程
を備えている、
上記(59)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(62)
前記基板をドープする前記工程が、
前記トレンチの回りに高濃度にドープしたシリコンから成るスキン領域を形成する工程
を備えている、
上記(59)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(63)
前記トレンチを幅が100nm〜1000nmであり、深さ対幅の比が2〜50であるように形成する、
上記(59)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(64)
前記下部導電層の前記ドーパントをAs、P、B、およびこれらの組み合わせから成る群から選択する、
上記(59)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(65)
前記ドーピング濃度を1018〜1021原子/cm3 に選定して低抵抗率(<0.01オーム・cm)を達成する、
上記(64)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(66)
前記シリコン下部導電層における前記少し深い部分の深さが50nm〜500nmである、
上記(60)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(67)
前記少なくとも1つの受動素子を形成する工程が、さらに、
前記基板をドープしてノイズに起因する電圧ゆらぎを減衰させる抵抗率を実現する工程と、
前記基板と前記第1のインタフェースとを絶縁する工程と、
前記絶縁被膜にビアを構築して前記第1のインタフェースの前記接続部への導電経路を実現する工程と、
前記第2のインタフェースに絶縁パッドを配置して前記基板と前記第2のインタフェースを分離する工程であって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、工程と
を備えている、
上記(54)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(68)
前記ドープする工程を実行して傾斜させた抵抗率を構築する、
上記(66)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(69)
前記傾斜させた抵抗率が前記第2のインタフェースへ向かうにつれて大きくなっている、
上記(66)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(70) さらに、
前記第1のインタフェースに関連付けられた1組の導電素子を構築して前記少なくとも1つの受動素子と前記少なくとも1つの半導体素子および前記一のレベルのパッケージングとを、高速充放電を行って高速応答時間を実現する経路を形成する電気的近接接続状態で接続する、
上記(52)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(71) さらに、
前記第1のインタフェースに関連付けられた1組の導電素子を構築して前記アレイを相互接続するとともに前記アレイと前記少なくとも1つの半導体素子および前記一のレベルのパッケージングとを、高速充放電を行って高速応答時間を実現する経路を形成する電気的近接接続状態で接続する、
上記(55)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(72)
デカップリング・キャパシタを形成する前記工程が、
ビアの内壁に金属被膜を堆積して前記ビア内壁に下部導電層を形成する工程と、
前記金属被覆した内壁を高誘電率の絶縁体で被覆して前記ビアの前記金属被覆した内壁に下敷きを形成する工程と、
前記ビアの内部に導電性充填物を挿入する工程と、
キャパシタ誘電体として機能する前記誘電体下敷き、一のプレートとして機能する前記内部導電性充填物、および別のプレートとして機能する前記金属被膜を接続することによりビアを基にしたデカップリング・キャパシタを形成する工程と
を備えている、
上記(54)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(73)
デカップリング・キャパシタを形成する前記工程が、
ビアの内壁を高誘電率の絶縁体で被覆する工程と、
前記ビアの内部に導電性充填物を挿入する工程と、
前記ビアに隣接する前記基板に高濃度にドープした領域を形成する工程と、
キャパシタ誘電体として機能する前記誘電体被膜、一のプレートとして機能する前記内部導電性充填物、および別のプレートとして機能する前記基板領域を接続することによりビアを基にしたデカップリング・キャパシタを形成する工程と
を備えている、
上記(54)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(74)
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法であって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースを構築する工程と、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースを構築する工程と、
前記第1のインタフェースと前記第2のインタフェースの間に、前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板を構築する工程と、
前記基板の表面から前記基板内に伸び素子として機能するように適合しているトレンチから成るアレイを形成する工程と、
前記トレンチ素子を相互接続するとともに前記トレンチ素子と前記少なくとも1つの半導体素子とを接続する、前記第1のインタフェースに関連付けられた1組の導電素子を形成する工程と
を備えた、
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(75)
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法であって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースを構築する工程と、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースを構築する工程と、
前記第1のインタフェースと前記第2のインタフェースの間に、前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板を構築する工程と、
前記基板に少なくとも1つのメモリ・セルを形成する工程と、
前記第1のインタフェースに関連付けられた1組の導電素子を形成して前記メモリ・セルと前記少なくとも1つの半導体素子とを接続する工程と
を備えた、
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(76)
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法であって、
少なくとも1つの半導体素子への接続部を備えた第1のインタフェースを構築する工程と、
一のレベルのパッケージングへの接続部を備えた第2のインタフェースを構築する工程と、
前記第1のインタフェースと前記第2のインタフェースの間に、前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板を構築する工程と、
前記基板に少なくとも1つの光電子工学構造体を形成する工程と、
前記第1のインタフェースに関連付けられた1組の導電素子を形成して前記光電子工学構造体と前記少なくとも1つの半導体素子とを接続する工程と
を備えた、
半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(77)
前記第1のインタフェースと前記第2のインタフェースとの間に基板を構築する前記工程が、さらに、
前記少なくとも1つのビアを低誘電率の絶縁体で被覆し、前記ビアを導電材料で充填して導電素子を形成する工程
を備えている、
上記(54)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(78)
前記少なくとも1つのビアを低誘電率の絶縁体で被覆する前記工程において、前記低誘電率の絶縁体が、酸化シリコン、非晶質水素添加窒化シリコン、炭化シリコン、Si、C、O、およびHを含む非晶質膜、ならびにこれらの組み合わせから成る群から選択した材料である、
上記(77)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(79) さらに、
前記少なくとも1つのメモリ・セルに接続された少なくとも1つの副ビアを形成して前記少なくとも1つのメモリ・セルが前記一のレベルのパッケージングに直接に接続しうるようにする工程
を備えた、
上記(75)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(80) さらに、
前記少なくとも1つの光電子工学構造体に接続された少なくとも1つの副ビアを形成して前記少なくとも1つの光電子工学構造体が前記一のレベルのパッケージングに直接に接続しうるようにする工程
を備えた、
上記(76)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
(81)
前記基板が、サファイア、石英、ガリウム・ヒ素、インジウム・リン、および有機材料から成る群から選択した材料から成る、
上記(31)に記載の、半導体素子群を相互接続する高密度チップ・キャリアを構築する方法。
抵抗性デカップリング素子と容量性デカップリング素子を組み込んだ改良されたチップ・キャリアの等価電気回路を示す図である。 従来技術に係るシリコン相互接続キャリア構造体の概略を示す図である。 キャリアの上表面領域に構築した深いトレンチ・キャパシタの形をしたデカップリング・キャパシタ・アレイの概略を示す図である。 キャリアの上表面領域に構築した深いトレンチ・キャパシタの形をした金属−絶縁体−金属(MIM)構造のデカップリング・キャパシタ・アレイの概略を示す図である。 キャリアの上表面領域に構築した深いトレンチ・キャパシタの形をした金属−絶縁体−シリコン(MIS)構造のデカップリング・キャパシタ・アレイの概略を示す図である。 キャリアのスルー・ビア群の一部を用いて構築した金属−絶縁体−シリコン(MIS)構造のスルー・ビアを基にしたデカップリング・キャパシタの概略を示す図である。 キャリアのスルー・ビア群の一部を用いて構築した金属−絶縁体−金属(MIM)構造のスルー・ビアを基にしたデカップリング・キャパシタの概略を示す図である。 スルー・ビア構造のMISキャパシタと組み合わせキャリアの上表面領域に構築した深いトレンチ・キャパシタの形をしたデカップリング・キャパシタ・アレイの概略を示す図である。 スルー・ビア構造のMIMキャパシタと組み合わせキャリアの上表面領域に構築した深いトレンチ・キャパシタの形をしたデカップリング・キャパシタ・アレイの概略を示す図である。 集積化した抵抗素子を含む改良されたキャリア構造体の概略を示す図である。 集積化した受動素子、抵抗器、およびスルー・ビア型キャパシタを含む改良されたキャリア構造体の概略を示す図である。 集積化した受動素子(抵抗器および深いトレンチ・キャパシタ)を含む改良されたキャリア構造体の概略を示す図である。 集積化した受動素子(抵抗器、深いトレンチ・キャパシタ、およびスルー・ビア型キャパシタ)を含む改良されたキャリア構造体の概略を示す図である。 キャリアの上表面領域に構築するとともに下部電極コンタクトを(キャリアの下部から)スルー・ビア技術によって形成した機能素子(光学素子または電気素子)の概略を示す図である。
符号の説明
100 シリコン・キャリア
101 パッケージ
102 チップ
200 シリコン基板
210 スルー・ビア・ホール
220 絶縁材料
230 導電材料
240 C4ボール
250 相互接続配線
260 ビア
270 微細接合パッド
3010 深いトレンチ・デカップリング・キャパシタ構造体
3020 高誘電率膜
3030 上部導電性電極
3080 下部電極
3090 コンタクト
410’ スルー・ビア
430’ 導電性充填物
4080’ 高濃度にドープしたシリコン基板領域
500 特別にドープしたシリコン基板
5020’ 絶縁体
540’ C4はんだボール
5090”’コンタクト
610” ビア
620” 誘電体層
630” 導電層
660” ビア
670 ビア
6010 付加した素子
6090”’下部電極

Claims (26)

  1. 半導体素子群を相互接続するキャリアであって、
    少なくとも1つの半導体素子への接続部を備えた第1のインタフェースと、
    パッケージングへの接続部を備えた第2のインタフェースと、
    前記第1のインタフェースと前記第2のインタフェースの間に設けられた基板であって、前記基板中に構築され前記第1のインタフェースの前記接続部と前記第2のインタフェースの前記接続部とを接続する少なくとも1つのビアを備えた基板と、
    前記基板に構築されたデカップリング・キャパシタと、
    前記デカップリング・キャパシタと前記半導体素子および/または前記パッケージングとを接続するための、前記第1のインタフェースへの導電経路とを備え、
    前記デカップリング・キャパシタは、前記基板の前記ビアで占領されていない領域に構築され、前記基板の表面から前記基板中に伸びるトレンチから成るアレイを備えるトレンチ・キャパシタを含む、
    半導体素子群を相互接続するキャリア。
  2. 前記導電経路が、前記デカップリング・キャパシタと前記半導体素子および前記パッケージングとを接続する、少なくとも1つのコンタクト・パッド、相互接続ビア、および/または相互接続配線を備えている、請求項1に記載の、半導体素子群を相互接続するキャリア。
  3. 前記導電経路が、前記トレンチ・キャパシタを相互接続するとともに前記トレンチ・キャパシタと前記半導体素子とを接続する、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  4. 前記少なくとも1つのビアが絶縁体で被覆され導電材料で充填されて導電経路を形成している、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  5. 前記低誘電率の絶縁体が、酸化シリコン、非晶質水素添加窒化シリコン、炭化シリコン、ならびにSi、C、O、およびHを含む非晶質膜、ならびにこれらの組み合わせから成る群から選択した材料から成る、
    請求項4に記載の、半導体素子群を相互接続するキャリア。
  6. 前記基板がシリコンから成る、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  7. 前記第2のインタフェースがはんだボールによって前記パッケージングに接続されている、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  8. 前記トレンチが、第1の導電材料、高誘電率の絶縁体、および第2の導電材料が堆積されてトレンチ・キャパシタを形成している、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  9. 前記トレンチの幅が100nm〜1000nmであり、深さ対幅の比が2〜50である、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  10. 前記第1の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、およびこれらの組み合わせ、ならびにCu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせから成る群から選択されている、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  11. 前記高誘電率の絶縁体が、窒化シリコン、シリコン・オキシナイトライド、酸化アルミニウム、窒化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、チタン酸バリウム・ストロンチウム、チタン酸バリウム・ジルコニウム、およびこれらの組み合わせから成る群から選択されている、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  12. 前記第2の導電材料が、W、Ti、Ta、Co、Zr、Hf、これらの導電性窒化物、これらのシリサイド、これらの導電性シリコン・ナイトライド、ならびに、Cu、Ni、Pt、Zr、Nb、Mo、V、Ir、Re、Rt、およびこれらの組み合わせ、ならびに多結晶シリコンから成る群から選択されている、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  13. 前記トレンチ・キャパシタが金属−絶縁体−金属構造のトレンチ・キャパシタから成る、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  14. 前記トレンチ・キャパシタが金属−絶縁体−シリコン構造のトレンチ・キャパシタから成る、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  15. 前記金属−絶縁体−金属構造のトレンチ・キャパシタが、
    前記基板中に伸びるトレンチから成るアレイと、
    前記トレンチの表面に金属被覆を堆積することにより形成した下部導電層と
    を備え、
    前記金属被覆したトレンチ高誘電率の絶縁体および第3の導電材料が堆積されてトレンチ・キャパシタを形成している、
    請求項13に記載の、半導体素子群を相互接続するキャリア。
  16. 前記金属−絶縁体−シリコン構造のトレンチ・キャパシタが、
    前記基板中に伸びるトレンチから成るアレイと、
    前記基板をドープすることにより形成した下部導電層と
    を備え、
    前記ドープしたトレンチ高誘電率の絶縁体および第3の導電材料が堆積されてトレンチ・キャパシタを形成している、
    請求項14に記載の、半導体素子群を相互接続するキャリア。
  17. 前記デカップリング・キャパシタが、さらに、
    ビアの内壁に金属被膜を堆積することにより形成した下部導電層と、
    前記ビアの前記金属被覆した壁に堆積された高誘電率の絶縁体から成る被膜と、
    前記ビアの内部に存在する導電性充填物と
    を備え、
    前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記高誘電率の絶縁体から成る被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記金属被膜とで形成されている、
    ビアを基にしたキャパシタである、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
  18. 前記デカップリング・キャパシタが、さらに、
    ビアの内壁上に存在する高誘電率の絶縁体から成る被膜と、
    前記ビアの内部に存在する導電性充填物と、
    前記ビアに隣接する前記基板から成る高濃度ドープ領域と
    を備え、
    前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記誘電体被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記高濃度ドープ領域とで形成されている、
    ビアを基にしたキャパシタである、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  19. さらに、
    少なくとも1つの、ビアを基にしたキャパシタであって、
    ビアの内壁上に存在する高誘電率の絶縁体から成る被膜と、
    前記ビアの内部に存在する導電性充填物と、
    前記ビアに隣接する前記基板から成る高濃度ドープ領域と
    を備え、
    前記デカップリング・キャパシタが、キャパシタ誘電体として機能する前記誘電体被膜と、一のプレートとして機能する前記内部充填物と、別のプレートとして機能する前記高濃度ドープ領域とで形成されている、
    キャパシタを備えた、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  20. さらに、
    ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
    前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
    前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
    前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
    を備えた
    抵抗素子を備えている、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  21. さらに、
    ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
    前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
    前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
    前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
    を備えた
    抵抗素子を備えている、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  22. 前記抵抗素子が抵抗率を傾斜させて構築されている、
    請求項20に記載の、半導体素子群を相互接続するキャリア。
  23. 前記傾斜させた抵抗率が前記第2のインタフェースへ向かうにつれて大きくなっている、
    請求項22に記載の、半導体素子群を相互接続するキャリア。
  24. さらに、
    ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
    前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
    前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
    前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
    を備えた
    抵抗素子を備えた、
    請求項に記載の、半導体素子群を相互接続するキャリア。
  25. さらに、
    ノイズに起因する電圧ゆらぎを減衰させるために抵抗率を有するドープした基板と、
    前記基板と前記第1のインタフェースとを分離する絶縁被膜と、
    前記第1のインタフェースの前記接続部への導電経路を実現する、前記絶縁被膜に設けられたビアと、
    前記基板と前記第2のインタフェースとを分離する絶縁パッドであって、前記絶縁パッドはその中に構築された導電経路を有し、前記基板と前記第2のインタフェースの前記接続部とを接続している、絶縁パッドと
    を備えた
    抵抗素子を備えた、
    請求項19に記載の、半導体素子群を相互接続するキャリア。
  26. さらに、
    前記トレンチ・キャパシタと前記パッケージングとを直接に接続しうるようにトレンチから成る前記アレイに接続された少なくとも1つの副ビアを備えた、
    請求項1に記載の、半導体素子群を相互接続するキャリア。
JP2003409522A 2002-12-09 2003-12-08 チップ・キャリア Expired - Fee Related JP4222929B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/314,589 US7030481B2 (en) 2002-12-09 2002-12-09 High density chip carrier with integrated passive devices

Publications (2)

Publication Number Publication Date
JP2004193614A JP2004193614A (ja) 2004-07-08
JP4222929B2 true JP4222929B2 (ja) 2009-02-12

Family

ID=32468507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003409522A Expired - Fee Related JP4222929B2 (ja) 2002-12-09 2003-12-08 チップ・キャリア

Country Status (5)

Country Link
US (2) US7030481B2 (ja)
JP (1) JP4222929B2 (ja)
KR (1) KR100598665B1 (ja)
CN (1) CN1309073C (ja)
TW (1) TWI235466B (ja)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124593A (ja) * 2001-10-15 2003-04-25 Interconnection Technologies Kk 接続部品
US6815256B2 (en) * 2002-12-23 2004-11-09 Intel Corporation Silicon building blocks in integrated circuit packaging
KR101086520B1 (ko) 2003-06-20 2011-11-23 엔엑스피 비 브이 전자 장치, 조립체 및 전자 장치 제조 방법
US7177135B2 (en) * 2003-09-23 2007-02-13 Samsung Electronics Co., Ltd. On-chip bypass capacitor and method of manufacturing the same
US8569142B2 (en) * 2003-11-28 2013-10-29 Blackberry Limited Multi-level thin film capacitor on a ceramic substrate and method of manufacturing the same
KR100541655B1 (ko) * 2004-01-07 2006-01-11 삼성전자주식회사 패키지 회로기판 및 이를 이용한 패키지
US7050871B2 (en) * 2004-02-26 2006-05-23 International Business Machines Corporation Method and apparatus for implementing silicon wafer chip carrier passive devices
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
KR100680504B1 (ko) * 2004-06-30 2007-02-08 동부일렉트로닉스 주식회사 반도체 소자의 캐패시터의 제조방법
DE102004032706A1 (de) * 2004-07-06 2006-02-02 Epcos Ag Verfahren zur Herstellung eines elektrischen Bauelements und das Bauelement
CN100347869C (zh) * 2004-09-14 2007-11-07 宏齐科技股份有限公司 发光芯片的封装结构
US7535107B2 (en) * 2004-10-12 2009-05-19 Salmon Technologies, Llc Tiled construction of layered materials
JP4564342B2 (ja) * 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
JP4795677B2 (ja) * 2004-12-02 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール、ならびに半導体装置の製造方法
KR100929471B1 (ko) * 2005-02-03 2009-12-02 신에츠 폴리머 가부시키가이샤 고정 캐리어, 고정 캐리어의 제조 방법, 고정 캐리어의 사용 방법, 및 기판 수납 용기
ATE506697T1 (de) * 2005-02-04 2011-05-15 Alcatel Lucent Interposer zur entkoppelung von integrierten schaltkreisen auf einer leiterplatte
JP2006253631A (ja) 2005-02-14 2006-09-21 Fujitsu Ltd 半導体装置及びその製造方法、キャパシタ構造体及びその製造方法
KR100867038B1 (ko) * 2005-03-02 2008-11-04 삼성전기주식회사 커패시터 내장형 인쇄회로기판 및 그 제조방법
JP4311376B2 (ja) * 2005-06-08 2009-08-12 セイコーエプソン株式会社 半導体装置、半導体装置の製造方法、電子部品、回路基板及び電子機器
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
JP4250154B2 (ja) * 2005-06-30 2009-04-08 新光電気工業株式会社 半導体チップ及びその製造方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7435627B2 (en) * 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
US7633167B2 (en) * 2005-09-29 2009-12-15 Nec Electronics Corporation Semiconductor device and method for manufacturing same
TWI409934B (zh) * 2005-10-12 2013-09-21 Semiconductor Energy Lab 半導體裝置
DE102005062932B4 (de) * 2005-12-29 2015-12-24 Polaris Innovations Ltd. Chip-Träger mit reduzierter Störsignalempfindlichkeit
US7626257B2 (en) * 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070246821A1 (en) * 2006-04-20 2007-10-25 Lu Szu W Utra-thin substrate package technology
WO2007131967A1 (en) * 2006-05-15 2007-11-22 Koninklijke Philips Electronics N.V. Integrated low-loss capacitor-arrray structure
JP2007311649A (ja) * 2006-05-19 2007-11-29 Fuji Electric Systems Co Ltd シリコンインターポーザ基板を用いた高周波回路モジュール装置
US7804177B2 (en) 2006-07-26 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based thin substrate and packaging schemes
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080118731A1 (en) * 2006-11-16 2008-05-22 Micron Technology, Inc. Method of forming a structure having a high dielectric constant, a structure having a high dielectric constant, a capacitor including the structure, a method of forming the capacitor
JP2008147338A (ja) * 2006-12-08 2008-06-26 Nec Electronics Corp 半導体集積回路装置
US20100044853A1 (en) * 2007-01-17 2010-02-25 Nxp, B.V. System-in-package with through substrate via holes
US7719079B2 (en) * 2007-01-18 2010-05-18 International Business Machines Corporation Chip carrier substrate capacitor and method for fabrication thereof
JP5330376B2 (ja) * 2007-05-10 2013-10-30 アイピーディーアイエイ 集積装置及びその製造方法、並びに、システム・イン・パッケージ
US20080284037A1 (en) 2007-05-15 2008-11-20 Andry Paul S Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
KR100887140B1 (ko) * 2007-06-20 2009-03-04 삼성전기주식회사 캐패시터 내장형 다층 세라믹 기판
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7825517B2 (en) 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US8350382B2 (en) 2007-09-21 2013-01-08 Infineon Technologies Ag Semiconductor device including electronic component coupled to a backside of a chip
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
KR101013548B1 (ko) * 2007-11-30 2011-02-14 주식회사 하이닉스반도체 스택 패키지
US7588993B2 (en) 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8671476B2 (en) * 2008-02-05 2014-03-18 Standard Textile Co., Inc. Woven contoured bed sheet with elastomeric yarns
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8288872B2 (en) 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8101494B2 (en) * 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US8399273B2 (en) 2008-08-18 2013-03-19 Tsmc Solid State Lighting Ltd. Light-emitting diode with current-spreading region
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US9165841B2 (en) 2008-09-19 2015-10-20 Intel Corporation System and process for fabricating semiconductor packages
US9164404B2 (en) * 2008-09-19 2015-10-20 Intel Corporation System and process for fabricating semiconductor packages
US8653648B2 (en) * 2008-10-03 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Zigzag pattern for TSV copper adhesion
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
KR20100040455A (ko) * 2008-10-10 2010-04-20 주식회사 동부하이텍 반도체 소자의 제조 방법
US8624360B2 (en) * 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
US7923369B2 (en) * 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming
US7985655B2 (en) * 2008-11-25 2011-07-26 Freescale Semiconductor, Inc. Through-via and method of forming
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US7989318B2 (en) 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8314483B2 (en) 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8168529B2 (en) 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US8531565B2 (en) 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US7932608B2 (en) * 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US7989270B2 (en) * 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8232140B2 (en) * 2009-03-27 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for ultra thin wafer handling and processing
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8110920B2 (en) * 2009-06-05 2012-02-07 Intel Corporation In-package microelectronic apparatus, and methods of using same
US8432038B2 (en) 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
JP5330115B2 (ja) * 2009-06-17 2013-10-30 浜松ホトニクス株式会社 積層配線基板
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8247906B2 (en) 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8222104B2 (en) 2009-07-27 2012-07-17 International Business Machines Corporation Three dimensional integrated deep trench decoupling capacitors
US8298906B2 (en) * 2009-07-29 2012-10-30 International Business Machines Corporation Trench decoupling capacitor formed by RIE lag of through silicon via (TSV) etch
US8377816B2 (en) * 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8497564B2 (en) * 2009-08-13 2013-07-30 Broadcom Corporation Method for fabricating a decoupling composite capacitor in a wafer and related structure
US8859424B2 (en) * 2009-08-14 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier and method of manufacturing
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8252665B2 (en) 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
US8254142B2 (en) * 2009-09-22 2012-08-28 Wintec Industries, Inc. Method of using conductive elastomer for electrical contacts in an assembly
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN102033877A (zh) * 2009-09-27 2011-04-27 阿里巴巴集团控股有限公司 检索方法和装置
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US8593825B2 (en) * 2009-10-14 2013-11-26 Wintec Industries, Inc. Apparatus and method for vertically-structured passive components
US7969013B2 (en) * 2009-10-22 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via with dummy structure and method for forming the same
US8659155B2 (en) * 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8283745B2 (en) * 2009-11-06 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside-illuminated image sensor
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
US8558345B2 (en) * 2009-11-09 2013-10-15 International Business Machines Corporation Integrated decoupling capacitor employing conductive through-substrate vias
TWI412114B (zh) * 2009-12-31 2013-10-11 Advanced Semiconductor Eng 半導體封裝結構及其製造方法
TWI436463B (zh) * 2009-12-31 2014-05-01 Advanced Semiconductor Eng 半導體封裝結構及其製造方法
TWI423390B (zh) * 2010-01-05 2014-01-11 Univ Southern Taiwan The method of making microperforated structure by three-dimensional silicon perforation technique (TSV) and its microperforated structure for micro-nozzle, step-up structure and filter sheet
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8237272B2 (en) * 2010-02-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pillar structure for semiconductor substrate and method of manufacture
US8390009B2 (en) * 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8507940B2 (en) 2010-04-05 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Heat dissipation by through silicon plugs
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8519538B2 (en) 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
US20110287663A1 (en) * 2010-05-21 2011-11-24 Gailus Mark W Electrical connector incorporating circuit elements
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8471358B2 (en) 2010-06-01 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. 3D inductor and transformer
US9059026B2 (en) 2010-06-01 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. 3-D inductor and transformer
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US8362591B2 (en) 2010-06-08 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits and methods of forming the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8500182B2 (en) 2010-06-17 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum wafer carriers for strengthening thin wafers
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8319336B2 (en) 2010-07-08 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of etch microloading for through silicon vias
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
US8722540B2 (en) 2010-07-22 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling defects in thin wafer handling
US9299594B2 (en) 2010-07-27 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bonding system and method of modifying the same
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8507358B2 (en) 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
US8693163B2 (en) 2010-09-01 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical embedded capacitors
US8928159B2 (en) 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US8928127B2 (en) 2010-09-24 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Noise decoupling structure with through-substrate vias
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
KR20120034410A (ko) * 2010-10-01 2012-04-12 삼성전자주식회사 반도체 장치 및 제조 방법
US8836116B2 (en) 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
US8519409B2 (en) 2010-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Light emitting diode components integrated with thermoelectric devices
US8567837B2 (en) 2010-11-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Reconfigurable guide pin design for centering wafers having different sizes
FR2968130A1 (fr) * 2010-11-30 2012-06-01 St Microelectronics Sa Dispositif semi-conducteur comprenant un condensateur et un via de connexion electrique et procede de fabrication
FR2968129A1 (fr) * 2010-11-30 2012-06-01 St Microelectronics Sa Dispositif semi-conducteur comprenant un condensateur et un via de connexion électrique et procédé de fabrication
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US9153462B2 (en) 2010-12-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spin chuck for thin wafer cleaning
US8742541B2 (en) 2010-12-09 2014-06-03 Tessera, Inc. High density three-dimensional integrated capacitors
US8773866B2 (en) 2010-12-10 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Radio-frequency packaging with reduced RF loss
US8236584B1 (en) 2011-02-11 2012-08-07 Tsmc Solid State Lighting Ltd. Method of forming a light emitting diode emitter substrate with highly reflective metal bonding
US9059262B2 (en) 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8546235B2 (en) 2011-05-05 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal-insulator-metal capacitors and methods of forming the same
US8674883B2 (en) 2011-05-24 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Antenna using through-silicon via
US8552518B2 (en) 2011-06-09 2013-10-08 Optiz, Inc. 3D integrated microelectronic assembly with stress reducing interconnects
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8552485B2 (en) 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8766409B2 (en) 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
US8531035B2 (en) 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US8604491B2 (en) 2011-07-21 2013-12-10 Tsmc Solid State Lighting Ltd. Wafer level photonic device die structure and method of making the same
US8445296B2 (en) 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US9121237B2 (en) 2011-07-28 2015-09-01 Baker Hughes Incorporated Methods of coating wellbore tools and components having such coatings
US8618640B2 (en) * 2011-07-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of shielding through silicon vias in a passive interposer
US8809073B2 (en) 2011-08-03 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for de-embedding through substrate vias
US9159907B2 (en) 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US8525278B2 (en) 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US8546886B2 (en) 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8604619B2 (en) 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
US8610188B2 (en) * 2011-09-15 2013-12-17 GlobalFoundries, Inc. Integrated circuit decoupling capacitor arrangement
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US8686522B2 (en) 2011-10-13 2014-04-01 International Business Machines Corporation Semiconductor trench inductors and transformers
US9087838B2 (en) 2011-10-25 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a high-K transformer with capacitive coupling
US8659126B2 (en) 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8896089B2 (en) 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US11264262B2 (en) 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US10381254B2 (en) 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8546953B2 (en) 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8890293B2 (en) 2011-12-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring for through vias
US8580647B2 (en) 2011-12-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Inductors with through VIAS
US8618631B2 (en) 2012-02-14 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip ferrite bead inductor
US10180547B2 (en) 2012-02-23 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate
US9618712B2 (en) 2012-02-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate and method of making the same
US9293521B2 (en) 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US8860114B2 (en) 2012-03-02 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a fishbone differential capacitor
US9312432B2 (en) 2012-03-13 2016-04-12 Tsmc Solid State Lighting Ltd. Growing an improved P-GaN layer of an LED through pressure ramping
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
US10211139B2 (en) 2012-05-24 2019-02-19 Unimicron Technology Corp. Chip package structure
TWI637467B (zh) * 2012-05-24 2018-10-01 欣興電子股份有限公司 中介基材及其製作方法
US9282646B2 (en) 2012-05-24 2016-03-08 Unimicron Technology Corp. Interposed substrate and manufacturing method thereof
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
CN103456715B (zh) * 2012-06-04 2017-06-09 欣兴电子股份有限公司 中介基材及其制作方法
US8618651B1 (en) * 2012-11-01 2013-12-31 Nvidia Corporation Buried TSVs used for decaps
US9466662B2 (en) * 2012-12-28 2016-10-11 Intel Corporation Energy storage devices formed with porous silicon
US9484211B2 (en) 2013-01-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Etchant and etching process
US9490133B2 (en) 2013-01-24 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching apparatus
US9041152B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor with magnetic material
US8901711B1 (en) 2013-08-07 2014-12-02 International Business Machines Corporation Horizontal metal-insulator-metal capacitor
TWI521664B (zh) * 2013-09-03 2016-02-11 瑞昱半導體股份有限公司 金屬溝渠去耦合電容結構與形成金屬溝渠去耦合電容結構的方法
WO2015163918A1 (en) 2014-04-25 2015-10-29 Intel Corporation Integrated circuit package substrate
CN106463467B (zh) * 2014-06-16 2019-12-10 英特尔公司 不使用穿硅通孔(tsv)将存储器管芯直接集成到逻辑管芯的方法
US9653534B2 (en) 2014-12-17 2017-05-16 International Business Machines Corporation Trench metal-insulator-metal capacitor with oxygen gettering layer
WO2016209200A1 (en) 2015-06-22 2016-12-29 Intel Corporation On-chip through-body-via capacitors and techniques for forming same
US10121849B2 (en) * 2015-11-16 2018-11-06 Micron Technology, Inc. Methods of fabricating a semiconductor structure
EP3174094B1 (en) * 2015-11-25 2018-09-26 IMEC vzw Integrated circuit comprising a metal-insulator-metal capacitor and fabrication method thereof
KR102085789B1 (ko) * 2016-02-29 2020-03-06 스몰텍 에이비 인터포저 디바이스 및 인터포저 디바이스 제조방법
US9991215B1 (en) * 2017-01-19 2018-06-05 Nanya Technology Corporation Semiconductor structure with through substrate via and manufacturing method thereof
TWI766072B (zh) * 2017-08-29 2022-06-01 瑞典商斯莫勒科技公司 能量存儲中介層裝置、電子裝置和製造方法
FR3077927B1 (fr) 2018-02-13 2023-02-10 St Microelectronics Crolles 2 Sas Capteur d'images a eclairement par la face arriere
CA3102806A1 (en) 2018-06-05 2019-12-12 L. Pierre De Rochemont Module with high peak bandwidth i/o channels
TW202038266A (zh) * 2018-11-26 2020-10-16 瑞典商斯莫勒科技公司 具有離散的能量儲存構件之半導體組件
US10818646B2 (en) * 2019-02-13 2020-10-27 Infineon Technologies Ag Power stage device with carrier frame for power stage module and integrated inductor
US11201205B2 (en) * 2019-07-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect layout for semiconductor device
KR20210098582A (ko) 2020-01-31 2021-08-11 삼성전자주식회사 반도체 장치
US11784215B2 (en) * 2020-03-02 2023-10-10 Google Llc Deep trench capacitors embedded in package substrate
US20210391245A1 (en) * 2020-06-11 2021-12-16 Nanya Technology Corporation Semiconductor package device
US11537154B2 (en) 2020-12-09 2022-12-27 Samsung Electronics Co., Ltd. Mobile devices and methods controlling power in mobile devices
US20230215849A1 (en) * 2022-01-05 2023-07-06 Qualcomm Incorporated PACKAGE SUBSTRATES WITH EMBEDDED DIE-SIDE, FACE-UP DEEP TRENCH CAPACITOR(S) (DTC(s)), AND RELATED INTEGRATED CIRCUIT (IC) PACKAGES AND FABRICATION METHODS

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63131561A (ja) * 1986-11-18 1988-06-03 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 電子パツケージ
JP3376611B2 (ja) * 1992-10-16 2003-02-10 松下電器産業株式会社 半導体装置の製造方法
US5772451A (en) * 1993-11-16 1998-06-30 Form Factor, Inc. Sockets for electronic components and methods of connecting to electronic components
US6004839A (en) * 1996-01-17 1999-12-21 Nec Corporation Semiconductor device with conductive plugs
JP3587004B2 (ja) * 1996-11-05 2004-11-10 ソニー株式会社 半導体メモリセルのキャパシタ構造及びその作製方法
US5811868A (en) * 1996-12-20 1998-09-22 International Business Machines Corp. Integrated high-performance decoupling capacitor
US6294420B1 (en) * 1997-01-31 2001-09-25 Texas Instruments Incorporated Integrated circuit capacitor
US6054754A (en) * 1997-06-06 2000-04-25 Micron Technology, Inc. Multi-capacitance lead frame decoupling device
US6225699B1 (en) * 1998-06-26 2001-05-01 International Business Machines Corporation Chip-on-chip interconnections of varied characteristics
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6418029B1 (en) * 2000-02-28 2002-07-09 Mckee James S. Interconnect system having vertically mounted passive components on an underside of a substrate
US6665194B1 (en) * 2000-11-09 2003-12-16 International Business Machines Corporation Chip package having connectors on at least two sides
US6638077B1 (en) * 2001-02-26 2003-10-28 High Connection Density, Inc. Shielded carrier with components for land grid array connectors
US6723600B2 (en) * 2001-04-18 2004-04-20 International Business Machines Corporation Method for making a metal-insulator-metal capacitor using plate-through mask techniques
US6502231B1 (en) * 2001-05-31 2002-12-31 Applied Micro Circuits Corporation Integrated circuit template cell system and method
US6645851B1 (en) * 2002-09-17 2003-11-11 Taiwan Semiconductor Manufacturing Company Method of forming planarized coatings on contact hole patterns of various duty ratios

Also Published As

Publication number Publication date
JP2004193614A (ja) 2004-07-08
KR20040050846A (ko) 2004-06-17
US20040108587A1 (en) 2004-06-10
US7030481B2 (en) 2006-04-18
KR100598665B1 (ko) 2006-07-13
US20050023664A1 (en) 2005-02-03
US6962872B2 (en) 2005-11-08
CN1309073C (zh) 2007-04-04
TWI235466B (en) 2005-07-01
TW200421565A (en) 2004-10-16
CN1507046A (zh) 2004-06-23

Similar Documents

Publication Publication Date Title
JP4222929B2 (ja) チップ・キャリア
JP5285829B2 (ja) インターポーザおよびその製造方法
US8785289B2 (en) Integrated decoupling capacitor employing conductive through-substrate vias
CN102420210B (zh) 具有硅通孔(tsv)的器件及其形成方法
US9337125B2 (en) Integrated circuit devices including a via structure and methods of fabricating integrated circuit devices including a via structure
CN101410969B (zh) 具有高q晶片背面电容器的半导体集成电路器件
US8455979B2 (en) Three dimensional integrated deep trench decoupling capacitors
US7727887B2 (en) Method for improved power distribution in a three dimensional vertical integrated circuit
JPH05198738A (ja) マルチチップ・パッケージおよびその製造方法
JP2021087007A (ja) 集積回路のメタライゼーションスタックの配線工程における選択的な相互接続
CN104795354A (zh) 一种芯片的集成方法
CN116114396A (zh) 前道工艺互连结构以及相关联的***和方法
CN114188480A (zh) 一种电容器结构及其形成电容器结构的方法
US11469295B1 (en) Decoupling capacitor integrated in system on chip (SOC) device
TW202230653A (zh) 具有經整合構件之電子構件封裝件
KR20140038195A (ko) Tsv구조 형성 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060821

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060824

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20060830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081104

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20081104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121128

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121128

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131128

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees