JP4105077B2 - 半導体集積回路 - Google Patents

半導体集積回路 Download PDF

Info

Publication number
JP4105077B2
JP4105077B2 JP2003370947A JP2003370947A JP4105077B2 JP 4105077 B2 JP4105077 B2 JP 4105077B2 JP 2003370947 A JP2003370947 A JP 2003370947A JP 2003370947 A JP2003370947 A JP 2003370947A JP 4105077 B2 JP4105077 B2 JP 4105077B2
Authority
JP
Japan
Prior art keywords
circuit
test
memory
output
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003370947A
Other languages
English (en)
Other versions
JP2005135527A (ja
Inventor
顕一 安藏
千佳子 徳永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2003370947A priority Critical patent/JP4105077B2/ja
Priority to US10/894,089 priority patent/US7254762B2/en
Publication of JP2005135527A publication Critical patent/JP2005135527A/ja
Application granted granted Critical
Publication of JP4105077B2 publication Critical patent/JP4105077B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Description

本発明は、半導体集積回路に係り、特に半導体集積回路自身のテストを行う組み込み自己テスト(BIST)回路を有する半導体集積回路に関する。
データの書き込み及び読み出し可能なメモリが組み込まれた半導体集積回路の故障検出工程において、BISTにより故障を検出する手法が知られている(例えば、特許文献1参照。)。半導体集積回路の論理部に内蔵されたメモリを対象としたテストを行うBIST回路(以下において「メモリ自己テスト回路」という。)30は、図14に示すように、メモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENを生成し、メモリ50へ伝達する。メモリ自己テスト回路30は更に、メモリ50からメモリ読み出し用テストデータTQ1を得て、メモリ50の動作が正常であるか否かの解析を行う。メモリ自己テスト回路30は、外部クロックCKが供給されるのみでテストを進行するので、テストを容易に実行できる。このため、メモリ自己テスト回路30は、メモリ50以外の論理回路3を対象としたテストと並列してメモリ50のテストを実現し易いという利点を有する。
また、スキャンテストや、論理回路3を対象としたBIST回路(以下において「ロジック自己テスト回路」という。)を用いたテストにより故障を検出する手法が知られている(例えば、特許文献2参照。)。スキャンテストでは、論理回路3内の複数のレジスタ(フリップ・フロップ)がそれぞれスキャンレジスタに置換され互いに直列接続されるスキャン設計技術を用いてテストが行われる。この際、スキャンレジスタは外部から直接制御及び観測が可能となるので、論理回路3内のレジスタ以外の論理部分を、入力条件により出力が一意に決定する組み合わせ回路とみなしてテストパターンを自動で生成できる。ここで、論理回路3に接続されているメモリ50は順序的な動作をするため、組み合わせ回路とはみなせず、テストパターンを生成する際の扱いが難しい。
このため一般的に、論理部において、図14に模式的に示すように、論理回路3から伝達された論理回路用テストデータD1〜D3を論理回路3に接続されているメモリ50の入力側から出力側へ迂回させるための迂回信号経路BP11〜BP13を有する迂回回路40xがメモリ50の周辺に配置される。但し、迂回回路40xは、メモリ50のテストのときに、メモリ自己テスト回路30から出力されたメモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENをメモリ50入力へ伝達するための入力切り替え回路(マルチプレクサ)41x〜41z、及び論理回路用テストデータD0と通常動作時のシステムデータとを切り替えて伝搬するためのマルチプレクサ43xも含む必要がある。図14に示すように、論理回路3から出力された論理回路用テストデータD1〜D3は、マルチプレクサ41x〜41zの前で分岐し、迂回信号経路BP11〜BP13して、排他的論理和ゲート52a及びマルチプレクサ43xを経由した後、論理回路3へ伝搬する。一方、メモリ50のテストを行うメモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENは、メモリ自己テスト回路30からマルチプレクサ41x〜41zを経由してメモリ50に入力される。メモリ読み出し用データTQ1は、マルチプレクサ43xの前で分岐し、メモリ自己テスト回路30に伝達される。このように、図14に示した迂回回路40xを用いれば、論理テスト用の信号とメモリBIST用の信号は同じ信号線上を通ることがないため、論理回路3のテストと、メモリ50のテストを並列に実行できる。
特開平3−216900号公報 特開平11−352188号公報
しかし、図14に示した迂回回路40xでは、マルチプレクサ41x〜41z,43xの前の分岐からマルチプレクサ41x〜41z,43xの入力までの信号線L11,L12,L13,L17は、論理回路用テストデータD1〜D3、メモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WEN及びメモリ読み出し用テストデータTQ1のいずれも通ることがないため、テストすることができず、故障検出率が低下してしまう。この残存する未テストの信号線L11〜L13,L17をテストできるように、図15に模式的に示すようにマルチプレクサ41x〜41z,43xの後に分岐を設けた迂回回路40yを構成すると、論理回路用テストデータD1〜D3と、メモリ書き込み用テストデータTD1、アドレス信号TA、メモリ用制御信号WEN及びメモリ読み出し用テストデータTQ1とが同じ信号線L14,L15,L16,L18を重複して通ることになり、論理回路3のテストと並列してメモリ50のテストを行うことができない。
上記問題点を鑑み、本発明は、未テストの信号線を残すことなく、論理回路のテストと並列してメモリのテストを行うことができる半導体集積回路を提供することを目的とする。
上記目的を達成するために、本発明の特徴は、(イ)テスト対象となる論理回路と、(ロ)その論理回路に接続されたテスト対象となるメモリと、(ロ)そのメモリのテストを行うメモリ自己テスト回路と、(ハ)論理回路の間を接続する信号線及びメモリとメモリ自己テスト回路との間を接続する信号線を有し、論理回路のテストとメモリのテストとを並列して同時に行う並列回路状態と、並列回路状態では未テストの信号経路をテストする並列回路状態とは異なる回路状態とを選択的に切り替える迂回回路とを備え、迂回回路は、メモリ自己テスト回路の第1の出力端子及び論理回路の第1の出力端子に入力側が接続され且つメモリの第1の入力端子に出力側が接続された第1入力側前段マルチプレクサと、論理回路の第1の出力端子及び第1入力側前段マルチプレクサの出力に入力側が接続された第1入力側後段マルチプレクサと、メモリの第1出力端子及び第1入力側後段マルチプレクサの出力に入力側が接続され且つ論理回路に出力側が接続された第1出力側前段マルチプレクサと、第1出力側前段マルチプレクサの出力及びメモリの第1出力端子に入力側が接続され且つメモリ自己テスト回路の第1の入力端子に出力側が接続された第1出力側後段マルチプレクサとを有することを特徴とする半導体集積回路であることを要旨とする。
以上説明したように、本発明によれば、未テストの信号線を残すことなく、論理回路のテストと並列してメモリのテストを行うことができる半導体集積回路を提供する。
以下図面を参照して、本発明の実施の形態を説明する。図面の記載において同一あるいは類似部分には同一あるいは類似な符号を付している。ただし、図面は模式的なものである。
(第1の実施の形態)
本発明の第1の実施の形態に係る半導体集積回路1は、図1に示すように、クロック生成回路60と、クロック生成回路60に接続された論理部2と、クロック生成回路60及び論理部2に接続されたメモリ自己テスト回路30を備える。クロック生成回路60は、外部クロック入力端子102に接続されたバッファ61と、バッファ61に接続されたPLL回路62を有する。バッファ61は、外部のコントローラ等から外部クロック入力端子102を介して供給された外部クロックCKをバッファリングする。PLL回路62は、バッファリングされた外部クロックCKを逓倍して、外部クロックCKよりも高速な高速クロックHCKを生成する。
図1に示したメモリ自己テスト回路30は、論理部2に内蔵されたメモリ50のテストを行う組み込み自己テスト回路である。メモリ自己テスト回路30は、バッファ61、PLL回路62、初期化信号入力端子103及びメモリテスト開始信号入力端子104にそれぞれ接続された入力端子131a〜131dと、判定信号出力端子106に接続された出力端子132e等を有する。メモリ自己テスト回路30は、詳細には図2に示すように、入力端子131aに接続された保持時間計測カウンタ31と、入力端子131b〜131dに接続され且つ保持時間計測カウンタ31に接続されたメモリ自己テスト制御回路32と、メモリ自己テスト制御回路32、入力端子131b及び出力端子132aに接続されたデータ生成器34と、メモリ自己テスト制御回路32、入力端子131b及び出力端子132bに接続されたアドレス生成器35と、メモリ自己テスト制御回路32、入力端子131b及び出力端子132cに接続された制御信号生成器36と、メモリ自己テスト制御回路32、データ生成器34、入力端子131b,132d及び出力端子132eに接続された結果解析器37を有する。
保持時間計測カウンタ31は、バッファリングされた外部クロックCKに同期して、図1に示した論理部2に内蔵されたメモリ50の保持テストに必要な保持時間を計測する。ここで、「保持テスト」とは、メモリをテストするためのメモリ書き込み用テストデータをメモリに書き込んだ後に、メモリへ書き直しを行わずに記憶情報を保持している時間が規格を満足するか否かを調べるテストである。保持時間計測カウンタ31は、メモリ自己テスト制御回路32から伝達された書き込み終了信号HLDをトリガ信号として得たとき、保持時間の計測を開始する。また、保持時間計測カウンタ31は、保持時間が経過したときに時間経過信号TSを生成し、メモリ自己テスト制御回路32へ伝達する。
メモリ自己テスト制御回路32は、高速クロックHCKに同期して、データ生成器34、アドレス生成器35、制御信号生成器36及び結果解析器37をそれぞれ制御するためのメモリテスト制御信号CS1〜CS4を生成する。メモリテスト制御信号CS1〜CS4はそれぞれ、データ生成器34、アドレス生成器35、制御信号生成器36及び結果解析器37へ伝達される。メモリ自己テスト制御回路32は、外部のコントローラ等から図1に示した初期化信号入力端子103を介して初期化信号RESが伝達されたとき、メモリ自己テスト回路30を初期化させる。また、メモリ自己テスト制御回路32は、外部のコントローラ等からメモリテスト開始信号入力端子104を介してメモリテスト開始信号STARTが伝達されたとき、図1に示した論理部2に内蔵されたメモリ50のテストを開始させる。また、メモリ自己テスト制御回路32は、保持テストにおいてメモリ50の全ビットにメモリ書き込み用テストデータの書き込みが終了したとき、メモリ50へのアクセスを一時中断させ動作保持モードとし、且つ書き込み終了信号HLDを生成する。また、メモリ自己テスト制御回路32は、時間経過信号TSが伝達されたとき、動作保持モードを抜けてメモリ50へのアクセスを再開させる。
図2に示したデータ生成器34は、高速クロックHCKに同期して、メモリテスト制御信号CS1に応じて図1に示した論理部2に内蔵されたメモリ50のテストのためのメモリ書き込み用テストデータTD1を生成する。データ生成器34は更に、メモリ書き込み用テストデータTD1に相当する期待値データETDを生成し、結果解析器37へ伝達する。アドレス生成器35は、高速クロックHCKに同期して、メモリテスト制御信号CS2に応じてメモリ書き込み用テストデータTD1を書き込むべきメモリ50のアドレスを指定するアドレス信号TAを生成する。制御信号生成器36は、高速クロックHCKに同期して、メモリテスト制御信号CS3に応じてメモリ50の動作状態を制御するためのメモリ用制御信号WENを生成する。このようにそれぞれ生成されたメモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENは、出力端子132a〜132cを介して図1に示した論理部2内の迂回回路40へそれぞれ伝達される。なお、図2は模式的に示しており、データ生成器34は、メモリ50の種類に応じて複数のメモリ書き込み用テストデータTD1,TD2,TD3,・・・・・を生成しても良い。また、メモリ用制御信号WENとしては、メモリ50を読み出し状態にするリードイネーブル信号、メモリ50を書き込み状態にするライトイネーブル信号、チップイネーブル信号、及びビットライトイネーブル信号等の複数の信号があり、メモリ50の種類に応じて適宜設けられる。
図2に示した結果解析器37は、高速クロックHCKに同期して、メモリテスト制御信号CS4に応じて、期待値データETDと、図1に示した論理部2に内蔵されたメモリ50から読み出されたメモリ読み出し用テストデータTQ1とを比較して判定信号OUTを生成する。生成された判定信号OUTは、出力端子132eを介して外部の例えばテスタへ伝達される。外部のテスタ等により、判定信号OUTに基づいてメモリ50の動作が正常か否かが判定される。
一方、図1に示した論理部2は、論理回路3、論理回路3に接続された迂回回路40、迂回回路40に接続されたメモリ50等を備える。論理回路3は例えば、スキャンチェーン21と、スキャンチェーン21に接続された組み合わせ回路11を有する。スキャンチェーン21は、外部クロック入力端子102にバッファ61を介してそれぞれ接続され、スキャンイネーブル信号入力端子100にそれぞれ接続され、且つ互いに直列接続された複数のスキャンレジスタ21a,21b,21c,21d,21e,21f,・・・・・,21nを有する。初段のスキャンレジスタ21aは、スキャン入力端子101に入力側が接続されている。また、最終段のスキャンレジスタ21nは、スキャン結果出力端子105に出力側が接続されている。スキャンレジスタ21a〜21nは、論理回路3のテストのひとつであるスキャンテストを行うために通常動作時に用いられる複数のレジスタがスキャン設計技術により置換されたものである。例えばスキャンレジスタ21aは、組み合わせ回路11、スキャン入力端子101、スキャンイネーブル信号入力端子100及びバッファ61にそれぞれ接続された入力端子26a〜26dと、スキャンレジスタ21b及び組み合わせ回路11に接続された出力端子26eとを有する。スキャンレジスタ21aは、図3に示すように、例えば入力端子26a,26bに入力側が接続されたマルチプレクサ24と、そのマルチプレクサ24及び入力端子26dに入力側が接続され、出力端子26eに出力側が接続されたレジスタ25をそれぞれ有する。マルチプレクサ24は、図1に示したスキャンイネーブル信号入力端子100から入力端子26cを介して入力されるスキャン選択制御信号SCENにより制御される。図1に示したスキャンレジスタ21b〜21nも、図3に示したスキャンレジスタ21aと同様の構成であるので重複した説明を省略する。この結果、スキャンレジスタ21a〜21nは、外部から直接制御及び観測が可能となる。なお、スキャンチェーン21に含まれるスキャンレジスタ21a〜21nの数は特に限定されない。
組み合わせ回路11は、スキャンレジスタ21a〜21nに接続されている。組み合わせ回路11は更に、迂回回路40のシステム用入力端子141a〜141cに出力側が接続され、迂回回路40のシステム用出力端子145aに入力側が接続されている。組み合わせ回路11は、論理回路3のうち、入力条件により出力が一意に決定する論理部分である。
論理回路3をテストするときには、スキャンチェーン21内のスキャンレジスタ21aに外部のテスタ等からスキャン入力端子101を介してスキャンデータSCIが供給される。スキャンレジスタ21a〜21(n−1)はそれぞれ、バッファリングされた外部クロックCKに同期して、保持しているスキャンデータSCIを次段のスキャンレジスタ21b〜21nへシフトさせる。例えばスキャンレジスタ21aにおいて、図3に示すように、マルチプレクサ24は、スキャンイネーブル信号SCENに応じて、入力端子26bを介して伝達されたスキャンデータSCIをレジスタ25に伝達する。レジスタ25はスキャンデータSCIを保持し、外部クロックCKに応じて保持していたスキャンデータSCIを出力端子26eを介して図1に示したスキャンレジスタ21bに伝達(シフト)する。このシフトを繰り返して、全てのスキャンレジスタ21a〜21nにスキャンデータSCIが書き込まれる。その後、スキャンレジスタ21a〜21nにより保持されたスキャンデータQa〜Qnが組み合わせ回路11に組み込まれ、組み合わせ回路11のテストが行われる。この際、組み合わせ回路11から迂回回路40のシステム用入力端子141a〜141cに伝達される論理回路用テストデータD1〜D3は、メモリ50を迂回するように迂回回路40を通過して、論理回路用テストデータD1〜D3に応じた論理回路用テストデータD0がシステム用出力端子145aから組み合わせ回路11へ伝達される(迂回回路40の詳細な構造は後述する。)。組み合わせ回路11のテスト結果Da〜Dnがスキャンレジスタ21a〜21nに取り込まれる。スキャンレジスタ21a〜21nが再びシフトし、最終段のスキャンレジスタ21nから伝達されたスキャン結果データSCOが、スキャン結果出力端子105を介して外部のテスタへ伝達される。外部のテスタにより、スキャン結果データSCOに基づき、スキャンチェーン21及び組み合わせ回路11を含む論理回路3の動作が正常か否かが判定される。
なお、通常動作のときには、組み合わせ回路11は、スキャンレジスタ21a〜21nにデータをそれぞれ伝達する。スキャンレジスタ21a〜21nはそれぞれ、通常動作のときに用いるシステムクロックに同期してデータを保持し、組み合わせ回路11に伝達する。ここで、組み合わせ回路11から迂回回路40のシステム用入力端子141a〜141cに伝達されたデータは、迂回回路40を通過して、出力端子143a〜143cを介してメモリ50に伝達される。また、メモリ50から読み出されたデータは、迂回回路40の入力端子144aに伝達され、システム用出力端子145aから組み合わせ回路11へ伝達される。
図1に示した迂回回路40は、選択制御信号出力端子107a,107bにそれぞれ接続された入力端子140a,140bと、バイパスモード選択制御信号出力端子107cに接続された入力端子140cと、組み合わせ回路11に接続されたシステム用入力端子141a〜141c及びシステム用出力端子145aと、メモリ50に接続された出力端子143a〜143c及び入力端子144aと、メモリ自己テスト回路30に接続されたテスト用入力端子142a〜142c及びテスト用出力端子146aを有する。迂回回路40は、論理回路3のテストとメモリ50のテストとを並列して行う並列回路状態のときの信号経路と、論理回路3のテストとメモリ50のテストとの一方のみを行う並列回路状態とは異なる回路状態のときの信号経路とを、並列回路状態とは異なる回路状態のときの信号経路が並列回路状態のときの信号経路では通過しない信号経路を含むように、選択的に切り替える。迂回回路40は、図4に模式的に示すように、第1〜第3の入力選択回路41〜43と、第1〜第3の入力選択回路41〜43に接続された排他的論理和ゲート52aと、排他的論理和ゲート52aに接続された第1の出力選択回路51を備える。
第1の入力選択回路41は、システム用入力端子141a及びテスト用入力端子142aに入力側が接続され且つ出力端子143aに出力側が接続された第1入力側前段マルチプレクサ41aと、システム用入力端子141a及び第1入力側前段マルチプレクサ41aに入力側が接続された第1入力側後段マルチプレクサ42aを有する。第2の入力選択回路42は、システム用入力端子141b及びテスト用入力端子142bに入力側が接続され且つ出力端子143bに出力側が接続された第2入力側前段マルチプレクサ41bと、システム用入力端子141b及び第2入力側前段マルチプレクサ41bに入力側が接続された第2入力側後段マルチプレクサ42bを有する。第3の入力選択回路43は、システム用入力端子141c及びテスト用入力端子142cに入力側が接続され且つ出力端子143cに出力側が接続された第3入力側前段マルチプレクサ41cと、システム用入力端子141c及び第3入力側前段マルチプレクサ41cに入力側が接続された第3入力側後段マルチプレクサ42cを有する。即ち、第1〜第3の入力選択回路41〜43において、第1〜第3入力側前段マルチプレクサ41a〜41cと第1〜第3入力側後段マルチプレクサ42a〜42cで2段に構成している点が、図14及び図15に示したマルチプレクサ41x〜41z,43xを有する迂回回路40x,40yに対して異なる。また、第1〜第3入力側前段マルチプレクサ41a〜41cは入力端子140aから伝達される選択制御信号SELaにより、また第1〜第3入力側後段マルチプレクサ42a〜42cは入力端子140bから伝達される選択制御信号SELbによりそれぞれ制御され、伝搬する信号を切り替える。
一方、排他的論理和ゲート52aは、第1〜第3入力側後段マルチプレクサ42a〜42cに入力側が接続されている。第1の出力選択回路51は、マルチプレクサを2段構成とし、入力端子144a及び排他的論理和ゲート52aに入力側が接続され且つシステム用出力端子145aに出力側が接続された第1出力側前段マルチプレクサ43aと、第1出力側前段マルチプレクサ43a及び入力端子144aに入力側が接続され且つテスト用出力端子146aに出力側が接続された第1出力側後段マルチプレクサ44aを有する。第1の出力選択回路51は、入力端子144aと第1出力側後段マルチプレクサ44aとの間に接続されたバッファ45を更に備える。また、第1出力側前段マルチプレクサ43aは入力端子140cから伝達されるバイパス選択制御信号BYPASSにより、また第1出力側後段マルチプレクサ44aは入力端子140bから伝達される選択制御信号SELbによりそれぞれ制御され、伝播する信号を切り替える。
第1〜第3入力側前段マルチプレクサ41a〜41cは、入力端子140aを介して伝達された選択制御信号SELaに応じて、組み合わせ回路11からシステム用入力端子141a〜141cを介して伝達された論理回路用テストデータD1〜D3と、図2に示したデータ生成器34、アドレス生成器35及び制御信号生成器36からテスト用入力端子142a〜142cを介してそれぞれ伝達されたメモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENとのいずれかをそれぞれ選択的に入力して伝達する。第1〜第3入力側後段マルチプレクサ42a〜42cは、入力端子140bを介して伝達された選択制御信号SELbに応じて、組み合わせ回路11から、システム用入力端子141a〜141cを介してあるいは第1〜第3入力側前段マルチプレクサ41a〜41cを介して伝達された論理回路用テストデータD1〜D3をそれぞれ選択的に入力し伝達する。排他的論理和ゲート52aは、第1〜第3入力側後段マルチプレクサ42a〜42cからそれぞれ伝達された論理回路用テストデータD1〜D3の排他的論理和を論理回路用テストデータD0として第1の出力選択回路51へ伝達する。第1出力側前段マルチプレクサ43aは、入力端子140cを介して伝達されたバイパスモード選択制御信号BYPASSに基づき、排他的論理和ゲート52aから伝達された論理回路用テストデータD0及びメモリ50から読み出されたメモリ読み出し用テストデータTQ1のいずれかを選択的に切り替えて伝達する。第1出力側後段マルチプレクサ44aは、選択制御信号SELbに応じて、メモリ50から読み出されたメモリ読み出し用テストデータTQ1を入力端子144a及び第1出力側前段マルチプレクサ43aのいずれかを介して選択的に得て、テスト用出力端子146aを介して図2に示した結果解析器37へ選択的に伝達する。
なお、第1〜第3入力側前段マルチプレクサ41a〜41cは、通常動作のときに、図1に示した組み合わせ回路11からシステム用入力端子141a〜141cを介して伝達されたデータをそれぞれ入力し、出力端子143a〜143cを介してメモリ50へ伝達する。第1出力側前段マルチプレクサ43aは、通常動作のときに、図1に示したメモリ50から読み出されたデータを入力端子144aを介して入力し、システム用出力端子145aを介して組み合わせ回路11へ伝達する。
メモリ50のテスト及び論理回路3のテストを並列して行うとき、メモリ自己テスト回路30からメモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENが迂回回路40に伝達される。迂回回路40はこのとき、選択制御信号SELa,SELb及びバイパス選択制御信号BYPASSにより、迂回信号経路BP1〜BP3を有効とする並列回路状態(第1の回路状態)に制御される。迂回回路40において、図4に示すように、メモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENが、第1〜3入力側前段マルチプレクサ41a〜41cから図1に示したメモリ50へそれぞれ伝達される。メモリ用制御信号WENにより書き込み状態にされたメモリ50の、アドレス信号TAにより指定されたアドレスにメモリ書き込み用テストデータTD1が書き込まれる。そして、メモリ50からメモリ書き込み用テストデータTD1に応じて読み出されたメモリ読み出し用テストデータTQ1が入力端子144aを介して図4に示した第1出力側後段マルチプレクサ44aからテスト用出力端子146aを介して図2に示した結果解析器37へ伝達される。
図1に示したメモリ50のテスト及び論理回路3のテストを並列して行うとき、更に、組み合わせ回路11から論理回路用テストデータD1〜D3が迂回回路40へそれぞれ伝達される。図4に示した迂回回路40において、論理回路用テストデータD1が、第1入力側後段マルチプレクサ42aから排他的論理和ゲート52aへ伝達される。また、論理回路用テストデータD2が、第2入力側後段マルチプレクサ42bから排他的論理和ゲート52aへ伝達される。また、論理回路用テストデータD3が、第3入力側後段マルチプレクサ42cから排他的論理和ゲート52aへ伝達される。排他的論理和ゲート52aにより、論理回路用テストデータD1〜D3の排他的論理和が論理回路用テストデータD0として第1出力側前段マルチプレクサ43aへ伝達される。第1出力側前段マルチプレクサ43aから論理回路用テストデータD0がシステム用出力端子145aを介して図1に示した組み合わせ回路11へ伝達される。以上説明したように、迂回回路40によれば、図4に示すような回路動作を行うことにより、論理回路3のテストとメモリ50のテストを並列して行うことができる。
上述したメモリ50のテスト及び論理回路3のテストを並列して行った場合、図4に示した第1〜第3入力側前段マルチプレクサ41a〜41c、第1〜第3入力側後段マルチプレクサ42a〜42c、第1出力側前段マルチプレクサ43a及び第1出力側後段マルチプレクサ44aの前の分岐から各第1〜第3入力側前段マルチプレクサ41a〜41c、第1〜第3入力側後段マルチプレクサ42a〜42c、第1出力側前段マルチプレクサ43a及び第1出力側後段マルチプレクサ44aの入力までの信号線L1〜L3、L4〜L6、L7及びL8が未テストである。迂回回路40によれば、迂回回路40内の回路状態を切り替えて動作させることで、これらの未テストの信号線L1〜L8を以下に一例を示すようにテストする。
まず、上述した未テストの第1出力側前段マルチプレクサ43aの入力側の信号線L7、及び第1出力側後段マルチプレクサ44aの入力側の信号線L8を故障検出対象としたテストを行うときは、図1に示したメモリ自己テスト回路30から、メモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENが迂回回路40へそれぞれ伝達される。迂回回路40は、図5に模式的に示すような回路状態(第2の回路状態)に切り替えられる。即ち、迂回回路40において、メモリ書き込み用テストデータTD1、アドレス信号TA及びメモリ用制御信号WENが、第1〜3入力側前段マルチプレクサ41a〜41cから出力端子143a〜143cを介してメモリ50へそれぞれ伝達され、メモリ書き込み用テストデータTD1がメモリ50に書き込まれる。メモリ50から読み出されたメモリ読み出し用テストデータTQ1が入力端子144a及び信号線L7を介して第1出力側前段マルチプレクサ43aへ伝達される。第1出力側前段マルチプレクサ43aからメモリ読み出し用テストデータTQ1が信号線L8を介して第1出力側後段マルチプレクサ44aへ選択的に伝達される。メモリ読み出し用テストデータTQ1が、第1出力側後段マルチプレクサ44aからテスト用出力端子146aを介して図2に示した結果解析器37へ伝達される。このように、迂回回路40によれば、図5に示すような第2の回路状態に切り替えることにより、未テストの信号線L7,L8をテストすることができる。
次に、上述した2種類のテストで未テストの第1〜第3入力側前段マルチプレクサ41a〜41cの入力側の信号線L1〜L3、及び第1〜第3入力側後段マルチプレクサ42a〜42cの入力側の信号線L4〜L6を故障検出対象とするテストを行うときは、スキャンテスト等を用いて、図1に示した組み合わせ回路11から論理回路用テストデータD1〜D3が迂回回路40へ伝達される。迂回回路40は、図6に模式的に示すように迂回信号経路BP1〜BP3を有効とする図4の第1及び第2の回路状態とは異なる回路状態(第3の回路状態)に切り替えられる。迂回回路40において、論理回路用テストデータD1〜D3が、信号線L1〜L3を介して第1入力側前段マルチプレクサ41a〜41cへ伝達される。第1入力側前段マルチプレクサ41a〜41cから論理回路用テストデータD1〜D3が信号線L4〜L6を介して第1入力側後段マルチプレクサ42a〜42cへそれぞれ伝達され、排他的論理和ゲート52aへそれぞれ伝達される。排他的論理和ゲート52aにより論理回路用テストデータD1〜D3の排他的論理和が演算され、論理回路用テストデータD0として第1出力側前段マルチプレクサ43aへ伝達される。第1出力側前段マルチプレクサ43aから論理回路用テストデータD0がシステム用出力端子145aを介して図1に示した組み合わせ回路11へ伝達される。図6に示すような第3の回路状態に切り替えることで、未テストの信号線L1〜L6をテストすることができる。
このように、図14に示した迂回回路40xでは入力側及び出力側にマルチプレクサ41X,41Y,41Z,43Xが一段しかなく信号線L11〜L13,L17をテストすることができず、図15に示した迂回回路40yでも論理回路3のテストとメモリ50のテストを行う信号線が重複してしまい2つのテストを並列して行うことができなかったが、図4〜図6に示した迂回回路40によれば、メモリ50のテストと論理回路3のテストを並列して行うことができ、更に、並列したテストで未テストの信号線L1〜L8を第2及び第3の回路状態に切り替えることによりテストでき、迂回回路40内の全ての信号線のテストを行うことが可能となる。
一方、図1に示したメモリ50は、PLL回路62に入力側が接続されている。メモリ50は、メモリ用制御信号WENにより書き込み状態、読み出し状態に制御される。メモリ50は、書き込み状態のときには、高速クロックHCKに同期してアドレス信号TAにより指定されたアドレスに、メモリ書き込み用テストデータTD1が書き込まれる。メモリ50は、読み出し状態のときには、高速クロックHCKに同期してメモリ書き込み用テストデータTD1に対応するメモリ読み出し用テストデータTQ1が読み出される。メモリ50としては、例えばSRAM及びDRAM等が採用可能である。なお、図1はメモリ50の入出力を省略して模式的に示しており、メモリ50は現実には多数の入出力を有するのは勿論である。
以下において、図7を参照して、図1に示した半導体集積回路1における論理回路3のテスト及びメモリ50のテストが並列して行われるときのテストの進行を説明する。
(イ)図7に示した時刻t0〜t1において、外部のコントローラ等から図1に示した初期化信号入力端子103を介して伝達された初期化信号RESが、図2に示したメモリ自己テスト制御回路32へ伝達され、メモリ自己テスト回路30が初期化される。
(ロ)図7に示した時刻t1において、図1に示した論理回路3のテスト(スキャンテスト)の実行が開始されると同時に、メモリテスト開始信号STARTがメモリ自己テスト制御回路32に供給され、メモリ50のテストの実行が並列して開始される。メモリ50のテストは論理回路3をテストするためのテストパターンの前後に、初期化及び結果判定を行うパターンを追加している。したがって、メモリ50のテストの動作はスキャンテストの動作に影響を与えない。また、メモリ50のテストの実行中、メモリ自己テスト回路30は外部クロックCK及び高速クロックHCKが供給されるのみで動作するので、論理回路3のテストに影響を与えない。メモリ50のテストにおいてBISTの実行が終了した後、時刻t2において、メモリ50に対するBISTの実行を終了する。
(ハ)時刻t2〜t3において、メモリ自己テスト回路30は、論理回路3のテストが終了するまで一時停止する。論理回路3のテストの実行中、メモリ自己テスト回路30に高速クロックHCKが継続して供給される。論理回路3のテストにおいて全てのスキャン結果データSCOが外部のテスタへ伝達された後、時刻t3において、論理回路3のテストの実行を終了する。
(ニ)時刻t3〜t4において、外部のテスタにより結果解析器37から伝達された判定信号OUTに基づいて、メモリ50の動作が正常か否かが判定される。判定後、時刻t4において、メモリ50のテストを終了する。
以上のように、論理回路3のテスト及びメモリ50のテストが並列して行われる。更に、上述した並列したテストの前又は後に、未テストの図4に示した第1出力側前段マルチプレクサ43aの入力側の信号線L7、及び第1出力側後段マルチプレクサ44aの入力側の信号線L8を故障検出対象とするテストが先に述べたように行われる。故障検出対象が第1出力側前段マルチプレクサ43aの入力側の信号線L7及び第1出力側後段マルチプレクサ44aの入力側の信号線L8であるので、全論理回路3のテストに比べて極めて短時間で完了する。
また、上述した2種類のテストの前又は後に、上述した2種類のテストで未テストの第1〜第3入力側前段マルチプレクサ41a〜41cの入力側の信号線L1〜L3、及び第1〜第3入力側後段マルチプレクサ42a〜42cの入力側の信号線L4〜L6を故障検出対象とするテストが先に述べたように行われる。故障検出対象が第1〜第3入力側前段マルチプレクサ41a〜41cの入力側の信号線L1〜L3並びに、第1〜第3入力側後段マルチプレクサ42a〜42cの入力側の信号線L4〜L6であるので、論理回路3の全てのスキャンテストに比べて極めて短時間で完了する。
次に、図8を参照して、論理回路3のテスト及び保持テストを含むメモリ50のテストが並列して行われる一例を説明する。
(イ)図8に示す時刻t10〜t11において、図7に示した時刻t0〜t1における手順と同様に、メモリ自己テスト回路30が初期化される。メモリ自己テスト回路30が初期化された後、時刻t11において、メモリ50のテスト及び論理回路3のテストの実行が開始される。時刻t11〜t12において、メモリ自己テスト回路30からメモリ50へメモリ書き込み用テストデータTD1が書き込まれる。
(ロ)メモリ50の全アドレスへメモリ書き込み用テストデータTD1が書き込まれた後、時刻t12において、保持テストのためにメモリ自己テスト回路30は動作を一時中断し、動作保持モードに入る。この際、メモリ自己テスト制御回路32から書き込み終了信号HLDが保持時間計測カウンタ31へ伝達される。保持時間計測カウンタ31が、書き込み終了信号HLDを得て保持時間の計測を開始する。時刻t12〜t13において、保持時間計測カウンタ31は、外部クロック入力端子102を介して供給された外部クロックCKに同期して、保持テストに必要な保持時間を計測する。
(ハ)保持テストに必要な保持時間が経過した後、時刻t13において、保持時間計測カウンタ31から、メモリ自己テスト制御回路32に時間経過信号TSが伝達されると、メモリ50から読み出しが開始される。時刻t13〜t14において、メモリ50の全アドレスからメモリ読み出し用テストデータTQ1が読み出される。その後、時刻t14において、メモリ50への書き込み動作が開始される。ここで、メモリ50の読み書きは例えば、全ビット0及び全ビット1の2種類のパターンで行われる。したがって、時刻t11〜t12においてメモリ50の全ビットに”0”が書き込まれた場合、時刻t14〜t15においてメモリ50の全ビットに”1”が書き込まれる。
(ニ)メモリ50の全アドレスへメモリ書き込み用テストデータTD1が書き込まれた後、時刻t15において、保持テストのためにメモリ自己テスト回路30は再度、動作保持モードに入る。時刻t15〜t16において、保持時間計測カウンタ31は、時刻t12〜t13と同様に、外部クロック入力端子102を介して供給された外部クロックCKに同期して保持テストに必要な保持時間の計測を開始する。
(ホ)保持テストに必要な保持時間が経過した後、時刻t16において、保持時間計測カウンタ31から時間経過信号TSがメモリ自己テスト制御回路32に伝達される。保持テストを終了、換言すれば動作保持モードを抜け、時刻t16〜t17において、メモリ50からメモリ読み出し用テストデータTQ1が読み出される。メモリ50の全アドレスからメモリ読み出し用テストデータTQ1が読み出された後、時刻t17において、メモリ50のテストの実行を終了する。時刻t17〜t18において、図7に示した時刻t2〜t3と同様に、論理回路3のテストが進行する。時刻t18において論理回路3のテストが終了した後、時刻t18〜19においてメモリ50のテストの結果判定が行われる。時刻t8において、メモリ50のテストを終了する。
以上説明したように、本発明の第1の実施の形態によれば、迂回回路40内の全ての信号線をテストすることができるので、高い故障検出率が得られる。更に、論理回路3のテストと並列してメモリ50のテストを行うことができ、論理回路3及びメモリ50を含む論理部2のテストに要する時間を短縮できる。
また、図8に示した時刻t13、t16における動作保持モードの終了判定の方法には、外部より終了信号を入力する方法と、上述したように保持時間計測カウンタ31のようなカウンタで保持時間を計測する方法とがある。外部より終了信号を入力する方法では、自己テスト再開信号を設けて、この信号を変化させることにより、動作保持モードの終了を知らせている。これにより終了判定が行えるが、この外部端子を変化させるためには、保持時間に応じてテストパターン及びテスタプログラムを修正する必要がある。特に、論理回路のテストと並列してBISTを実行している場合、論理回路のテストのテストパターンにこの信号変化を組み込まなければならず、保持時間の設定を変化させてテストが行われる場合、作業が非常に煩雑になる。一方、LSI内に保持時間計測カウンタを設けて保持時間を計測する方法では、予めLSI内にカウンタを持たない場合、BIST用に新たにカウンタを配置する必要がある。ここで、メモリBISTは高速で動作させることが多いため、保持テストに必要な時間を計測するカウンタを設けることは、回路規模の増大につながる。特に、それぞれ構成の異なる複数のメモリをそれぞれテストしている場合、BISTにより動作保持モードに入るタイミングが異なるため、カウンタを共有することができず、回路規模が更に大きくなる。
これに対して、本発明の第1の実施の形態によれば、図2に示した保持時間計測カウンタ31が、PLL回路62から伝達された高速クロックHCKよりも低速な外部クロックCKに同期して保持テストの保持時間を計測するので、保持時間計測カウンタ31のビット幅を削減でき、全体の回路規模を削減できる。この結果、保持テストでの動作保持モード終了の判定する信号を変化させるための、保持時間に応じたテストパターンあるいはテスタプログラムの修正等の煩雑な作業が不要となる。特に論理回路3のテスト及びメモリ50のテストを並列して行うときに、論理回路3のテストのテストパターンにこの信号変化を組み込む必要がなくなる。したがって、特に保持時間の設定を変化させてテストが行われるような場合に作業が非常に容易となる。
(第1の実施の形態の変形例)
本発明の第1の実施の形態の変形例に係る半導体集積回路1aは、図9に示すように、テスト対象である論理部2a、クロック生成回路60a、及びメモリ自己テスト回路30aを備える。クロック生成回路60aは、バッファ61と、論理部2a及びメモリ自己テスト回路30aとの間に接続された分周回路63を更に有する点が、図1に示したクロック生成回路60と異なる。分周回路63は、バッファリングされた外部クロックCKを分周して外部クロックCKより低速な低速クロックLCKを生成する。
図9に示した論理部2aは、論理回路3a、論理回路3aに接続された迂回回路40a、迂回回路40aに接続されたメモリ50a等を含む。論理回路3aは、スキャンチェーン21xと、スキャンチェーン21xに接続された組み合わせ回路11aを有する。スキャンチェーン21xは、分周回路63にそれぞれ接続され、互いに直列接続された複数のスキャンレジスタ23a,23b,23c,23d,23e,23f,・・・・・,23nを有する。スキャンレジスタ23a〜23cは、組み合わせ回路11aではなく、迂回回路40aのシステム用入力端子141a〜141cに接続されている点が、図1に示したスキャンレジスタ21a〜21cと異なる。スキャンレジスタ23d〜23nは、組み合わせ回路11aにそれぞれ接続されている。
メモリ50aは、入力端子と出力端子の数が一致している点が、図1に示したメモリ50と異なる。なお、メモリ50aは模式的に省略して示しており、現実には多数の入出力端子を有する。迂回回路40aは、スキャンレジスタ23a〜23cに接続されたシステム用入力端子141a〜141c及びシステム用出力端子145a〜145cと、メモリ自己テスト回路30aに接続されたテスト用入力端子142a〜142c及びテスト用出力端子146a〜146cと、メモリ50に接続された出力端子143a〜143c及び入力端子144a〜144cとを有する。迂回回路40aは、図10に示すように、第1〜第3の入力選択回路41〜43と、第1〜第3の入力選択回路41〜43にそれぞれ接続された第1〜第3の出力選択回路51〜53を有する。第1の出力選択回路51は、排他的論理和ゲートを介さずに第1の入力選択回路41に接続されている。このように、メモリ50aの入出力端子数に応じて、図4に示すような排他的論理和ゲート52aの数は適宜選択可能である。第2の出力選択回路52は、第2の入力選択回路42及び入力端子144bに入力側が接続され且つ出力端子145b及び出力端子146bに出力側が接続されている。第3の出力選択回路53は、第3の入力選択回路43及び入力端子144cに入力側が接続され且つ出力端子145c及び出力端子146cに出力側が接続されている。第2及び第3の出力選択回路52,53の構造は、第1の出力選択回路51と同様の構造であるので、重複した説明を省略する。
本発明の第1の実施の形態の変形例においても、メモリ50aのテスト及び論理回路3aのテストが並列で行われる。並列したテストのときに、図10に示した迂回回路40aにおいて、第1〜第3の入力選択回路41〜43からメモリ書き込みデータTD1、アドレス信号TA及びメモリ用制御信号WENがそれぞれ出力端子143a〜143cを介してメモリ50aに伝達され、メモリ書き込み用テストデータTD1がメモリ50aに書き込まれる。その後、メモリ50aからメモリ読み出し用テストデータTQ1〜TQ3が入力端子144a〜144cを介して第1〜第3の出力選択回路51〜53にそれぞれ伝達される。第1〜第3の出力選択回路51〜53からメモリ読み出し用テストデータTQ1〜TQ3がテスト用出力端子146a〜146cを介して、図9に示したメモリ自己テスト回路30a内の結果解析器に伝達される。
一方、スキャンテストにより、スキャンチェーン21x内のスキャンレジスタ23a〜23nは低速クロックLCKに同期してスキャンデータSCIをシフトして、値が設定される。スキャンレジスタ23a〜23cから論理回路用テストデータD1〜D3が迂回回路40aへそれぞれ伝達される。迂回回路40aにおいて、図10に示すように、論理回路用テストデータD1〜D3が第1〜第3の入力選択回路41〜43から第1〜第3の出力選択回路51〜53へそれぞれ伝達される。そして、第1〜第3の出力選択回路51〜53から、論理回路用テストデータD1〜D3がテスト結果データとして図9に示したスキャンレジスタ23a〜23cに取り込まれる。また、スキャンレジスタ23d〜23nから論理回路用テストデータQd〜Qnが組み合わせ回路11aに組み込まれる。組み合わせ回路11aのテスト後、テスト結果データDd〜Dnがスキャンレジスタ23d〜23nに取り込まれる。
また、メモリ自己テスト回路30aを用いて保持テストを含むメモリ50aのテストを行う場合、図2に示した保持時間計測カウンタ31と同様な保持時間計測カウンタが、分周回路63から伝達された低速クロックLCKに同期して動作する。また、メモリ自己テスト回路30a内の結果解析器としては、例えばテスト用出力端子146a〜146cを介して伝達されたメモリ読み出し用テストデータTQ1〜TQ3を並列に入力し、圧縮して判定信号OUTとするような圧縮器方式のものが採用可能である。
本発明の第1の実施の形態の変形例によれば、図10に示すような迂回回路40aを用いた場合も第1の実施の形態と同様に、未テストの信号線を残すことなく、論理回路3aのテストと並列してメモリ50aのテストを行うことができる。
更に、保持時間計測カウンタ31が、PLL回路62から伝達された高速クロックHCKよりも低速な低速クロックLCKに同期して保持テストの保持時間を計測すれば、分周回路63の回路規模の増大はあるが、保持時間計測カウンタ31のビット幅を削減でき、全体の回路規模を削減できる。特に、半導体集積回路1内にメモリ50a及びメモリ自己テスト回路30aの他に複数のメモリ及び対応する複数のメモリ自己テスト回路がある場合、複数のメモリ自己テスト回路内の保持時間計測カウンタがそれぞれ分周回路63を共有すれば、ビット数を削減でき、より顕著に回路規模を削減できる。
(第2の実施の形態)
本発明の第2の実施の形態に係る半導体集積回路1bは、図11に示すように、クロック生成回路60bと、クロック生成回路60bに接続されたロジック自己テスト回路80と、クロック生成回路60b及びロジック自己テスト回路80に接続された論理部2bと、クロック生成回路60b、ロジック自己テスト回路80及び論理部2bに接続されたメモリ自己テスト回路30bを備える。クロック生成回路60bは、外部クロック入力端子102に接続されたバッファ61と、バッファ61に接続されたPLL回路62を有する。バッファ61は、外部クロックCKをバッファリングする。PLL回路62は、バッファリングされた外部クロックCKを逓倍して高速クロックHCKを生成する。
図11に示したロジック自己テスト回路80は、論理テスト開始信号入力端子108に接続された入力端子181と、圧縮信号出力端子109に接続された出力端子185と、クロック生成回路60bに接続された入力端子182と、メモリ自己テスト回路30bに接続された出力端子183,184と、論理部2にそれぞれ接続された複数(第1〜第n)の出力端子200a〜200n及び複数(第1〜第n)の入力端子201a〜201nを有する。
ロジック自己テスト回路80は、詳細には図12に示すように、入力端子181に接続されたロジック自己テスト制御回路81と、ロジック自己テスト制御回路81、及び複数(第1〜第n)の出力端子200a〜200nに接続されたテストパターン生成器85と、ロジック自己テスト制御回路81、複数(第1〜第n)の入力端子201a〜201n、及び出力端子185に接続された圧縮器86と、ロジック自己テスト制御回路81、出力端子183に接続されたシフトカウンタ83と、ロジック自己テスト制御回路81に接続されたパターンカウンタ82と、入力端子182及び出力端子183に接続されたクロック制御回路84を有する。テストパターン生成器85としては、例えば単一入力シグネチャレジスタ(SISR)、直列フィードバックシグニチャレジスタ(LFSR)及び擬似乱数パターン発生器(PRPG)等が採用可能である。圧縮器86としては、例えば多入力シグネチャレジスタ(MISR)等が使用可能である。ロジック自己テスト回路80としては、例えばSTUMPS(Self-Testing Using MISR and Parallel SRSGs)と呼ばれる回路を用いることができる。
ロジック自己テスト制御回路81は、外部のテスタから入力端子181を介して伝達された論理回路3bのテストを開始するための論理テスト開始信号LSTARTを得て、論理テスト制御信号CTRL1,CTRL2を生成する。生成された論理テスト制御信号CTRL1,CTRL2は、テストパターン生成器85及び圧縮器86へそれぞれ伝達される。クロック制御回路84は、高速クロックHCKを分周して低速クロックLCKを生成する。
テストパターン生成器85は、論理テスト制御信号CTRL1に応じて擬似乱数的なテストパターン(論理回路用テストデータ)TPを順次生成する。生成されたテストパターンTPは、図11に示した論理回路3内のスキャンチェーン22a〜22nに並列に伝達される。図12に示した圧縮器86は、スキャンチェーン22a〜22nから伝達された並列のテスト結果パターンTQを順次取り込み、圧縮する。圧縮器86は更に、圧縮された最終的な状態の圧縮信号LOUTを外部のテスタへ伝達する。圧縮信号LOUTは、外部のテスタによりテスタ上の期待値と比較され、故障が検出される。
図12に示したシフトカウンタ83は、外部クロックCKに同期して、図11に示したスキャンチェーン22a〜22nのシフト動作の回数をカウントする。テスト結果パターンTQの取り込み動作への切り替え時期と判定された場合、切り替え信号SWITCHをロジック自己テスト制御回路81へ伝達する。パターンカウンタ82は、ロジック自己テスト制御回路81から伝達されたカウント信号PCOUNTを得て、シフト動作と取り込み動作の繰り返し回数をカウントする。パターンカウンタ82は、充分な故障検出率が得られる回数までカウントしたとき、停止信号PSTOPをロジック自己テスト制御回路81へ伝達する。
図11に示した論理部2bは、ロジック自己テスト回路80の出力端子200a〜200nに入力側がそれぞれ接続され且つロジック自己テスト回路80の入力端子201a〜201nに出力側がそれぞれ接続されたスキャンチェーン22a,22b,22c,・・・・・,22nと、スキャンチェーン22a〜22nに接続された組み合わせ回路11bと、組み合わせ回路11bにシステム用入力端子141a〜141c及びシステム用出力端子145aが接続された迂回回路40aと、迂回回路40aの出力端子143a〜143c及び入力端子144aに接続されたメモリ50を備える。
スキャンチェーン22a〜22nは、図1に示したスキャンチェーン21と同様に、通常の動作時に用いられるレジスタが予め置換され、互いに直列接続された複数のスキャンレジスタをそれぞれ有する。図1に示したスキャンチェーン21がスキャン入力端子101及びスキャン結果出力端子105に接続されるスキャン設計技術に対して、図12に示したスキャンチェーン22a〜22nのそれぞれの初段のスキャンレジスタの入力側及び最終段のスキャンレジスタの出力側が、ロジック自己テスト回路80にそれぞれ接続される点が異なる。
スキャンチェーン22a〜22n内の複数のスキャンレジスタはそれぞれ、低速クロックLCKに同期してテストパターンTPを次段のスキャンレジスタへ順次シフトし、値が設定される。また、スキャンチェーン22a〜22nのスキャンレジスタはそれぞれテストパターンTPを組み合わせ回路11bに組み込む。組み合わせ回路11bから迂回回路40のシステム用入力端子141a〜141cに伝達されたテストパターンTPは、第1の実施の形態と同様に、メモリ50を迂回するように迂回回路40を通過して、システム用出力端子145aから組み合わせ回路11bに伝達される。スキャンチェーン22a〜22n内のスキャンレジスタはそれぞれ、テストパターンTPに応じて組み合わせ回路11bから伝達されたテスト結果パターンTQを取り込む。再びスキャンチェーン22a〜22nのそれぞれのスキャンレジスタの値が順次シフトされ、最終段のスキャンレジスタからテスト結果パターンTQが並列に圧縮器86にそれぞれ伝達される。
図11に示したメモリ自己テスト回路30bは、PLL回路62、ロジック自己テスト回路80の出力端子183,184にそれぞれ接続された入力端子131a,131b,131eを有する。メモリ自己テスト回路30bは、図13に示した保持時間計測カウンタ31aが、入力端子131aに更に接続されている点が図2に示した保持時間計測カウンタ31に対して異なる。保持時間計測カウンタ31aは、クロック制御回路84から伝達された低速クロックLCKに同期して、保持テストに必要な保持時間を計測する。保持時間計測カウンタ31aは、図12に示したシフトカウンタ83の最上位ビットあるいはそのカウント最大値を認識する信号が伝達されたとき、時間経過信号TSをメモリ自己テスト制御回路32へ伝達する。他の構成は、図2に示したメモリ自己テスト回路30と同様であるので、重複した説明を省略する。
本発明の第2の実施の形態に係るテスト方法は、本発明の第1の実施の形態と同様に、メモリ50のテスト及び論理回路3のテストが並列して行われる。メモリ自己テスト回路30bを用いたメモリ50のテストは、図1及び図2に示したメモリ自己テスト回路30を用いたテスト方法と同様であるので、重複した説明を省略する。また、論理回路3のテストとして、スキャンテストの代わりに、ロジック自己テスト回路80を用いたテストが行われる。ロジック自己テスト回路80を用いたテストは、テストパターン生成器85により生成されたテストパターンTPのシフト、テスト結果パターンTQのスキャンレジスタへの取り込み、取り込んだテスト結果パターンTQのシフト、圧縮器によるテスト結果パターンTQの圧縮という手順を、充分な故障検出率が得られるまで繰り返すことにより行われる。メモリ50のメモリ自己テスト回路30a及びロジック自己テスト回路80はそれぞれ、クロックを入力するのみでテストを進行させるので、保持テストの実行によりテストの並列性に影響を及ぼすことはない。
以上説明したように、本発明の第2の実施の形態によれば、第1の実施の形態と同様に、迂回回路40a内の全ての信号線をテストすることができるので、高い故障検出率が得られる。更に、論理回路3aのテストと並列してメモリ50のテストを行うことができ、論理部2bのテストに要する時間を短縮できる。
また、図13に示した保持時間計測カウンタ31aは、シフトカウンタ83を下位ビットとして用いることができるので、ビット数を削減でき、回路規模を削減できる。特に、複数のメモリ自己テスト回路を用いて、互いに異なる構成の複数のメモリをそれぞれテストする場合、動作保持モードに入るタイミングはメモリ自己テスト回路によって異なるために、複数のメモリに対して複数の持時間計測カウンタをそれぞれ設ける必要があるが、シフトカウンタ83を下位ビットとして用いることができるので、ビット数をそれぞれ削減でき、回路規模を更に削減できる。
更に、図12に示したパターンカウンタ82は、シフトカウンタ83の上位ビットとして動作する。よって、保持時間計測カウンタ31aは、シフトカウンタ83の代わりにパターンカウンタ82を下位ビットとして用いることができ、保持時間計測カウンタ31aのビット数を更に削減できる。
(その他の実施の形態)
本発明は、第1及び第2の実施の形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。例えば、本発明の第1及び第2の実施の形態において、メモリ50をひとつ示したが、メモリ50と同様の構造又は異なる構造の複数のメモリを有していても良く、メモリ50の数や種類は特に限定されない。また、複数のメモリを有する場合、複数のメモリをそれぞれ対応する種類のメモリ自己テスト回路も、メモリに対応する数だけ配置しても良い。また、メモリ自己テスト回路30及び迂回回路40の信号線の数も、メモリ50に対応して増減できる。
図4に示した迂回回路40において、第1〜第3の入力選択回路41〜43を説明したが、実際にはメモリ50には複数(第1〜第n)の入力がある。したがって、第4,第5,第6,・・・・・,第nの入力に対応して、第4,第5,第6,・・・・・,第nの入力選択回路を備えていれば良い。また、第1の出力選択回路51が示されているが、メモリに複数(第1〜第m)の出力がある場合、第2〜第mの出力に対応して、第2〜第mの出力選択回路を備えていれば良い。その場合、排他的論理和ゲート52aの入力及び出力数は、第1〜第nの入力選択回路及び第1〜第mの出力選択回路に接続される信号線の本数に応じて適宜選択可能である。
また、図2に示したメモリ50から読み出されたメモリ読み出し用テストデータTQ1を順次メモリ自己テスト回路30内で生成した期待値データETDと比較する「比較器方式」のメモリ自己テスト回路30を説明したが、出力データを順次圧縮していき、最終的な圧縮結果を予め計算された期待値データと比較する「圧縮器方式」のメモリ自己テスト回路を用いても良い。また、論理回路3のテストとして、スキャンテスト及びロジック自己テスト回路80を用いたテストを示したが、特に限定されない。
また、図2及び図13に示した保持時間計測カウンタ31,31aを有するメモリ自己テスト回路30を示したが、保持時間計測カウンタ31,31aを有さない、即ち、保持テスト機能を有さないメモリ自己テスト回路であっても良い。このように、本発明はここでは記載していない様々な実施の形態等を含むことは勿論であり、本発明の技術的範囲は上記の説明から妥当な特許請求の範囲に係る発明特定事項によってのみ定められるものである。
本発明の第1の実施の形態に係る半導体集積回路の構成を示す回路図である。 本発明の第1の実施の形態に係るメモリ自己テスト回路の構成を示す回路図である。 本発明の第1の実施の形態に係るレジスタの構成を示す回路図である。 本発明の第1の実施の形態に係る迂回回路の構成を示す回路図(その1)である。 本発明の第1の実施の形態に係る迂回回路の構成を示す回路図(その2)である。 本発明の第1の実施の形態に係る迂回回路の構成を示す回路図(その3)である。 本発明の第1の実施の形態に係る論理回路のテストと並列にメモリのテストを行うテスト方法を説明するための図である。 本発明の第1の実施の形態に係る論理回路のテストと並列に保持時間を追加したメモリのテストを行うテスト方法を説明するための図である。 本発明の第1の実施の形態の変形例に係る迂回回路の構成を示す回路図である。 本発明の第1の実施の形態の変形例に係る半導体集積回路の構成を示す回路図である。 本発明の第2の実施の形態に係る半導体集積回路の構成を示す回路図である。 本発明の第2の実施の形態に係るロジック自己テスト回路の構成を示す回路図である。 本発明の第2の実施の形態に係るメモリ自己テスト回路の構成を示す回路図である。 従来の迂回回路の構成を示す回路図である。 従来の迂回回路の構成を示す回路図である。
符号の説明
1,1a,1b…半導体集積回路
2,2a,2b…論理部
3,3a,3b…論理回路
11,11a,11b…組み合わせ回路
21,21x…スキャンチェーン
21a〜21n…スキャンレジスタ
22a〜22n…スキャンチェーン
23a〜23f…スキャンレジスタ
24…マルチプレクサ
25…レジスタ
30,30a,30b…メモリ自己テスト回路
31,31a…保持時間計測カウンタ
32…メモリ自己テスト制御回路
34…データ生成器
35…アドレス生成器
36…制御信号生成器
37…結果解析器
40,40a,40x,40y…迂回回路
41〜43…第1〜第3の入力選択回路
41a〜41c…第1〜第3入力側前段マルチプレクサ
41x〜41z…マルチプレクサ
42a〜42c…第1〜第3入力側後段マルチプレクサ
43a…第1出力側前段マルチプレクサ
43x…マルチプレクサ
44a…第1出力側後段マルチプレクサ
45…バッファ
50,50a…メモリ
51〜53…第1〜第3の出力選択回路
52a…排他的論理和ゲート
60,60a,60b…クロック生成回路
61…バッファ
62…PLL回路
63…分周回路
80…ロジック自己テスト回路
81…ロジック自己テスト制御回路
82…パターンカウンタ
83…シフトカウンタ
84…クロック制御回路
85…テストパターン生成器
86…圧縮器
101…スキャン入力端子
102…外部クロック入力端子
103…初期化信号入力端子
104…自己テスト開始信号入力端子
105…スキャン結果出力端子
106…判定信号出力端子
107…選択制御信号出力端子
108…制御信号入力端子
109…テスト結果圧縮信号出力端子
131a〜131e…入力端子
132a〜132e…出力端子
140…入力端子
141a〜141c…システム用入力端子
142a〜142c…テスト用入力端子
143a〜143c…出力端子
144a〜144c…入力端子
145a〜145c…出力端子
146a〜146c…出力端子
181,182…入力端子
183〜185…出力端子
200a〜200n…出力端子
201a〜201n…入力端子
BP1〜BP3…迂回信号経路
BP11〜BP13…迂回信号経路
L1〜L8…信号線
L11〜L18…信号線

Claims (5)

  1. テスト対象となる論理回路と、
    該論理回路に接続されたテスト対象となるメモリと、
    該メモリのテストを行うメモリ自己テスト回路と、
    前記論理回路の間を接続する信号線及び前記メモリと前記メモリ自己テスト回路との間を接続する信号線を有し、前記論理回路のテストと前記メモリのテストとを並列して同時に行う並列回路状態と、前記並列回路状態では未テストの信号経路をテストする前記並列回路状態とは異なる回路状態とを選択的に切り替える迂回回路とを備え、
    前記迂回回路は、
    前記メモリ自己テスト回路の第1の出力端子及び前記論理回路の第1の出力端子に入力側が接続され且つ前記メモリの第1の入力端子に出力側が接続された第1入力側前段マルチプレクサと、
    前記論理回路の第1の出力端子及び前記第1入力側前段マルチプレクサの出力に入力側が接続された第1入力側後段マルチプレクサと、
    前記メモリの第1出力端子及び前記第1入力側後段マルチプレクサの出力に入力側が接続され且つ前記論理回路に出力側が接続された第1出力側前段マルチプレクサと、
    前記第1出力側前段マルチプレクサの出力及び前記メモリの第1出力端子に入力側が接続され且つ前記メモリ自己テスト回路の第1の入力端子に出力側が接続された第1出力側後段マルチプレクサ
    とを有することを特徴とする半導体集積回路。
  2. 前記並列回路状態のときに、
    前記第1入力側前段マルチプレクサは前記メモリ自己テスト回路の第1の出力端子から伝達された前記メモリのテストのためのメモリ書き込み用テストデータを選択し、
    前記第1入力側後段マルチプレクサは前記論理回路の第1の出力端子から伝達された前記論理回路のテストのための論理回路用テストデータを選択し、
    前記第1出力側前段マルチプレクサは前記第1入力側後段マルチプレクサに選択された前記論理回路用テストデータを選択して前記論理回路に伝達し、
    前記第1出力側後段マルチプレクサは前記メモリ書き込み用テストデータに応じて前記メモリから読み出されたメモリ読み出し用テストデータを選択して前記メモリ自己テスト回路に伝達する
    ことを特徴とする請求項に記載の半導体集積回路。
  3. 前記並列回路状態と異なる前記回路状態のときに、
    前記第1入力側前段マルチプレクサは前記メモリ自己テスト回路の第1の出力端子から伝達された前記メモリ書き込み用テストデータを選択して前記メモリの第1の入力端子に伝達し、
    前記第1出力側前段マルチプレクサは前記メモリから読み出された前記メモリ読み出し用データを選択し、且つ、
    前記第1出力側後段マルチプレクサは第1出力側前段マルチプレクサに選択された前記メモリ読み出し用データを選択して前記メモリ自己テスト回路に伝達する
    ことを特徴とする請求項1又は2に記載の半導体集積回路。
  4. 前記並列回路状態と異なる前記回路状態のときに、
    前記第1入力側前段マルチプレクサは前記論理回路の第1の出力端子から伝達された前記論理回路用テストデータを選択し、
    前記第1入力側後段マルチプレクサは第1入力側前段マルチプレクサに選択された前記論理回路用テストデータを選択し、且つ 前記第1出力側前段マルチプレクサは前記第1入力側後段マルチプレクサに選択された前記論理回路用テストデータを選択して前記論理回路に伝達する
    ことを特徴とする請求項1又は2に記載の半導体集積回路。
  5. 前記迂回回路は、
    前記メモリ自己テスト回路の第2の出力端子及び前記論理回路の第2の出力端子に入力側が接続され、前記メモリの第2の入力端子に出力側が接続された第2入力側前段マルチプレクサと、
    前記論理回路の第2の出力端子及び前記第2入力側前段マルチプレクサの出力に入力側が接続された第2入力側後段マルチプレクサと、
    前記第1入力側後段マルチプレクサの出力及び前記第2入力側後段マルチプレクサの出力が入力側に接続され、前記第1出力側前段マルチプレクサの入力が出力側に接続された排他的論理和ゲート
    とを更に備えることを特徴とする請求項1〜4のいずれか1項に記載の半導体集積回路。
JP2003370947A 2003-10-30 2003-10-30 半導体集積回路 Expired - Fee Related JP4105077B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003370947A JP4105077B2 (ja) 2003-10-30 2003-10-30 半導体集積回路
US10/894,089 US7254762B2 (en) 2003-10-30 2004-07-19 Semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003370947A JP4105077B2 (ja) 2003-10-30 2003-10-30 半導体集積回路

Publications (2)

Publication Number Publication Date
JP2005135527A JP2005135527A (ja) 2005-05-26
JP4105077B2 true JP4105077B2 (ja) 2008-06-18

Family

ID=34543913

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003370947A Expired - Fee Related JP4105077B2 (ja) 2003-10-30 2003-10-30 半導体集積回路

Country Status (2)

Country Link
US (1) US7254762B2 (ja)
JP (1) JP4105077B2 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7603603B2 (en) * 2005-05-31 2009-10-13 Stmicroelectronics Pvt. Ltd. Configurable memory architecture with built-in testing mechanism
JP4693526B2 (ja) * 2005-07-06 2011-06-01 株式会社東芝 半導体集積回路、および、半導体集積回路のテスト方法
US7484153B2 (en) * 2005-12-06 2009-01-27 Kabushiki Kaisha Toshiba Systems and methods for LBIST testing using isolatable scan chains
US7490280B2 (en) 2006-02-28 2009-02-10 International Business Machines Corporation Microcontroller for logic built-in self test (LBIST)
KR100752657B1 (ko) * 2006-02-28 2007-08-29 삼성전자주식회사 Pll을 이용하여 메모리 액세스 타임을 테스트하는테스트 장치 및 테스트 방법
JP4751216B2 (ja) * 2006-03-10 2011-08-17 株式会社東芝 半導体集積回路及びその設計装置
JP4808109B2 (ja) * 2006-09-01 2011-11-02 富士通セミコンダクター株式会社 半導体装置
KR100825783B1 (ko) * 2006-10-10 2008-04-29 삼성전자주식회사 테스트 패턴 발생회로 및 이를 구비하는 반도체 메모리장치
JP2008262630A (ja) * 2007-04-11 2008-10-30 Matsushita Electric Ind Co Ltd 半導体集積回路及びメモリ検査方法
JP4902511B2 (ja) * 2007-12-10 2012-03-21 株式会社日立製作所 半導体集積回路の高速テスト
US7844869B2 (en) * 2008-01-16 2010-11-30 International Business Machines Corporation Implementing enhanced LBIST testing of paths including arrays
US7702975B2 (en) * 2008-04-08 2010-04-20 International Business Machines Corporation Integration of LBIST into array BISR flow
US7925950B2 (en) * 2009-02-26 2011-04-12 International Business Machines Corporation Implementing enhanced array access time tracking with logic built in self test of dynamic memory and random logic
JP2010225239A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 半導体集積回路およびメモリの機能検証方法
JP2011149775A (ja) * 2010-01-20 2011-08-04 Renesas Electronics Corp 半導体集積回路及びコアテスト回路
JP5408052B2 (ja) * 2010-06-23 2014-02-05 富士通セミコンダクター株式会社 集積回路、シミュレーション装置、及びシミュレーション方法
TWI466124B (zh) * 2011-05-27 2014-12-21 Realtek Semiconductor Corp 測試系統
US9336342B2 (en) * 2011-09-23 2016-05-10 Synopsys, Inc. Memory hard macro partition optimization for testing embedded memories
US20140149817A1 (en) * 2012-11-27 2014-05-29 International Business Machines Corporation Diagnostic testing for a double-pumped memory array
US9116876B2 (en) 2012-12-18 2015-08-25 Qualcomm Incorporated Programmable built-in-self tester (BIST) in memory controller
US9599672B2 (en) * 2014-12-11 2017-03-21 Nxp Usa, Inc. Integrated circuit with scan chain having dual-edge triggered scannable flip flops and method of operating thereof
JP6491507B2 (ja) * 2015-03-20 2019-03-27 ルネサスエレクトロニクス株式会社 半導体装置、電子装置および半導体装置の自己診断方法
US10247776B2 (en) * 2017-02-22 2019-04-02 International Business Machines Corporation Structurally assisted functional test and diagnostics for integrated circuits
US10613142B2 (en) 2017-02-22 2020-04-07 International Business Machines Corporation Non-destructive recirculation test support for integrated circuits
JP6832787B2 (ja) * 2017-04-28 2021-02-24 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置のテスト方法
US10585142B2 (en) 2017-09-28 2020-03-10 International Business Machines Corporation Functional diagnostics based on dynamic selection of alternate clocking
US10908213B1 (en) * 2018-09-28 2021-02-02 Synopsys, Inc. Reducing X-masking effect for linear time compactors

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2560504B2 (ja) * 1990-01-22 1996-12-04 日本電気株式会社 組み込み自己テスト回路
JPH1116400A (ja) * 1997-06-24 1999-01-22 Seiko Epson Corp 半導体集積回路とそのテスト方法
US6362015B1 (en) * 1998-10-30 2002-03-26 Texas Instruments Incorporated Process of making an integrated circuit using parallel scan paths
US6088823A (en) * 1998-06-12 2000-07-11 Synopsys, Inc. Circuit for efficiently testing memory and shadow logic of a semiconductor integrated circuit
US6966021B2 (en) * 1998-06-16 2005-11-15 Janusz Rajski Method and apparatus for at-speed testing of digital circuits
US6587979B1 (en) * 1999-10-18 2003-07-01 Credence Systems Corporation Partitionable embedded circuit test system for integrated circuit
US6587996B1 (en) * 2000-06-12 2003-07-01 Intel Corporation Device and method for increased fault coverage using scan insertion techniques around synchronous memory
US7103814B2 (en) * 2002-10-25 2006-09-05 International Business Machines Corporation Testing logic and embedded memory in parallel
JP3869387B2 (ja) * 2003-04-25 2007-01-17 株式会社東芝 半導体集積回路

Also Published As

Publication number Publication date
JP2005135527A (ja) 2005-05-26
US20050097418A1 (en) 2005-05-05
US7254762B2 (en) 2007-08-07

Similar Documents

Publication Publication Date Title
JP4105077B2 (ja) 半導体集積回路
US5103450A (en) Event qualified testing protocols for integrated circuits
US5001713A (en) Event qualified testing architecture for integrated circuits
US7650547B2 (en) Apparatus for locating a defect in a scan chain while testing digital logic
US20050240848A1 (en) Masking circuit and method of masking corrupted bits
EP1647828B1 (en) Automatic fault-testing of logic blocks using internal at-speed logic-BIST
US9689924B2 (en) Circuit for testing integrated circuits
JP2003332443A (ja) 半導体集積回路とその設計支援装置およびテスト方法
US7168021B2 (en) Built-in test circuit for an integrated circuit device
US20030188246A1 (en) Method and apparatus for deriving a bounded set of path delay test patterns covering all transition faults
US6178534B1 (en) System and method for using LBIST to find critical paths in functional logic
US11519961B2 (en) Extended JTAG controller and method for functional debugging using the extended JTAG controller
US5841790A (en) Apparatus for testing an adapter card ASIC with reconfigurable logic
US6480019B2 (en) Multiple voted logic cell testable by a scan chain and system and method of testing the same
JP2014185981A (ja) 半導体集積回路および半導体集積回路の自己テスト方法
JP2005332555A (ja) テスト回路、テスト方法、及び半導体集積回路装置
US7284174B2 (en) Enhanced JTAG interface
US8341477B2 (en) Test board having a plurality of test modules and a test system having the same
JPH06201801A (ja) Bist回路に用いるための改良されたデータ分析器および分析方法
WO2009084424A1 (ja) 半導体テスト装置、半導体装置および試験方法
WO2002056043A1 (fr) Appareil de test pour dispositif a semi-conducteur et procede mettant en oeuvre ledit appareil
US8917566B2 (en) Bypass structure for a memory device and method to reduce unknown test values
JP5131025B2 (ja) デジタル信号遅延測定回路、及びデジタル信号遅延測定方法
JP2002148312A (ja) 集積回路
US6421810B1 (en) Scalable parallel test bus and testing method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080318

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080326

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110404

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130404

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees