JP4105077B2 - 半導体集積回路 - Google Patents
半導体集積回路 Download PDFInfo
- Publication number
- JP4105077B2 JP4105077B2 JP2003370947A JP2003370947A JP4105077B2 JP 4105077 B2 JP4105077 B2 JP 4105077B2 JP 2003370947 A JP2003370947 A JP 2003370947A JP 2003370947 A JP2003370947 A JP 2003370947A JP 4105077 B2 JP4105077 B2 JP 4105077B2
- Authority
- JP
- Japan
- Prior art keywords
- circuit
- test
- memory
- output
- input
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318555—Control logic
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Tests Of Electronic Circuits (AREA)
- For Increasing The Reliability Of Semiconductor Memories (AREA)
Description
本発明の第1の実施の形態に係る半導体集積回路1は、図1に示すように、クロック生成回路60と、クロック生成回路60に接続された論理部2と、クロック生成回路60及び論理部2に接続されたメモリ自己テスト回路30を備える。クロック生成回路60は、外部クロック入力端子102に接続されたバッファ61と、バッファ61に接続されたPLL回路62を有する。バッファ61は、外部のコントローラ等から外部クロック入力端子102を介して供給された外部クロックCKをバッファリングする。PLL回路62は、バッファリングされた外部クロックCKを逓倍して、外部クロックCKよりも高速な高速クロックHCKを生成する。
また、図8に示した時刻t13、t16における動作保持モードの終了判定の方法には、外部より終了信号を入力する方法と、上述したように保持時間計測カウンタ31のようなカウンタで保持時間を計測する方法とがある。外部より終了信号を入力する方法では、自己テスト再開信号を設けて、この信号を変化させることにより、動作保持モードの終了を知らせている。これにより終了判定が行えるが、この外部端子を変化させるためには、保持時間に応じてテストパターン及びテスタプログラムを修正する必要がある。特に、論理回路のテストと並列してBISTを実行している場合、論理回路のテストのテストパターンにこの信号変化を組み込まなければならず、保持時間の設定を変化させてテストが行われる場合、作業が非常に煩雑になる。一方、LSI内に保持時間計測カウンタを設けて保持時間を計測する方法では、予めLSI内にカウンタを持たない場合、BIST用に新たにカウンタを配置する必要がある。ここで、メモリBISTは高速で動作させることが多いため、保持テストに必要な時間を計測するカウンタを設けることは、回路規模の増大につながる。特に、それぞれ構成の異なる複数のメモリをそれぞれテストしている場合、BISTにより動作保持モードに入るタイミングが異なるため、カウンタを共有することができず、回路規模が更に大きくなる。
本発明の第1の実施の形態の変形例に係る半導体集積回路1aは、図9に示すように、テスト対象である論理部2a、クロック生成回路60a、及びメモリ自己テスト回路30aを備える。クロック生成回路60aは、バッファ61と、論理部2a及びメモリ自己テスト回路30aとの間に接続された分周回路63を更に有する点が、図1に示したクロック生成回路60と異なる。分周回路63は、バッファリングされた外部クロックCKを分周して外部クロックCKより低速な低速クロックLCKを生成する。
本発明の第2の実施の形態に係る半導体集積回路1bは、図11に示すように、クロック生成回路60bと、クロック生成回路60bに接続されたロジック自己テスト回路80と、クロック生成回路60b及びロジック自己テスト回路80に接続された論理部2bと、クロック生成回路60b、ロジック自己テスト回路80及び論理部2bに接続されたメモリ自己テスト回路30bを備える。クロック生成回路60bは、外部クロック入力端子102に接続されたバッファ61と、バッファ61に接続されたPLL回路62を有する。バッファ61は、外部クロックCKをバッファリングする。PLL回路62は、バッファリングされた外部クロックCKを逓倍して高速クロックHCKを生成する。
本発明は、第1及び第2の実施の形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。例えば、本発明の第1及び第2の実施の形態において、メモリ50をひとつ示したが、メモリ50と同様の構造又は異なる構造の複数のメモリを有していても良く、メモリ50の数や種類は特に限定されない。また、複数のメモリを有する場合、複数のメモリをそれぞれ対応する種類のメモリ自己テスト回路も、メモリに対応する数だけ配置しても良い。また、メモリ自己テスト回路30及び迂回回路40の信号線の数も、メモリ50に対応して増減できる。
2,2a,2b…論理部
3,3a,3b…論理回路
11,11a,11b…組み合わせ回路
21,21x…スキャンチェーン
21a〜21n…スキャンレジスタ
22a〜22n…スキャンチェーン
23a〜23f…スキャンレジスタ
24…マルチプレクサ
25…レジスタ
30,30a,30b…メモリ自己テスト回路
31,31a…保持時間計測カウンタ
32…メモリ自己テスト制御回路
34…データ生成器
35…アドレス生成器
36…制御信号生成器
37…結果解析器
40,40a,40x,40y…迂回回路
41〜43…第1〜第3の入力選択回路
41a〜41c…第1〜第3入力側前段マルチプレクサ
41x〜41z…マルチプレクサ
42a〜42c…第1〜第3入力側後段マルチプレクサ
43a…第1出力側前段マルチプレクサ
43x…マルチプレクサ
44a…第1出力側後段マルチプレクサ
45…バッファ
50,50a…メモリ
51〜53…第1〜第3の出力選択回路
52a…排他的論理和ゲート
60,60a,60b…クロック生成回路
61…バッファ
62…PLL回路
63…分周回路
80…ロジック自己テスト回路
81…ロジック自己テスト制御回路
82…パターンカウンタ
83…シフトカウンタ
84…クロック制御回路
85…テストパターン生成器
86…圧縮器
101…スキャン入力端子
102…外部クロック入力端子
103…初期化信号入力端子
104…自己テスト開始信号入力端子
105…スキャン結果出力端子
106…判定信号出力端子
107…選択制御信号出力端子
108…制御信号入力端子
109…テスト結果圧縮信号出力端子
131a〜131e…入力端子
132a〜132e…出力端子
140…入力端子
141a〜141c…システム用入力端子
142a〜142c…テスト用入力端子
143a〜143c…出力端子
144a〜144c…入力端子
145a〜145c…出力端子
146a〜146c…出力端子
181,182…入力端子
183〜185…出力端子
200a〜200n…出力端子
201a〜201n…入力端子
BP1〜BP3…迂回信号経路
BP11〜BP13…迂回信号経路
L1〜L8…信号線
L11〜L18…信号線
Claims (5)
- テスト対象となる論理回路と、
該論理回路に接続されたテスト対象となるメモリと、
該メモリのテストを行うメモリ自己テスト回路と、
前記論理回路の間を接続する信号線及び前記メモリと前記メモリ自己テスト回路との間を接続する信号線を有し、前記論理回路のテストと前記メモリのテストとを並列して同時に行う並列回路状態と、前記並列回路状態では未テストの信号経路をテストする前記並列回路状態とは異なる回路状態とを選択的に切り替える迂回回路とを備え、
前記迂回回路は、
前記メモリ自己テスト回路の第1の出力端子及び前記論理回路の第1の出力端子に入力側が接続され且つ前記メモリの第1の入力端子に出力側が接続された第1入力側前段マルチプレクサと、
前記論理回路の第1の出力端子及び前記第1入力側前段マルチプレクサの出力に入力側が接続された第1入力側後段マルチプレクサと、
前記メモリの第1出力端子及び前記第1入力側後段マルチプレクサの出力に入力側が接続され且つ前記論理回路に出力側が接続された第1出力側前段マルチプレクサと、
前記第1出力側前段マルチプレクサの出力及び前記メモリの第1出力端子に入力側が接続され且つ前記メモリ自己テスト回路の第1の入力端子に出力側が接続された第1出力側後段マルチプレクサ
とを有することを特徴とする半導体集積回路。 - 前記並列回路状態のときに、
前記第1入力側前段マルチプレクサは前記メモリ自己テスト回路の第1の出力端子から伝達された前記メモリのテストのためのメモリ書き込み用テストデータを選択し、
前記第1入力側後段マルチプレクサは前記論理回路の第1の出力端子から伝達された前記論理回路のテストのための論理回路用テストデータを選択し、
前記第1出力側前段マルチプレクサは前記第1入力側後段マルチプレクサに選択された前記論理回路用テストデータを選択して前記論理回路に伝達し、
前記第1出力側後段マルチプレクサは前記メモリ書き込み用テストデータに応じて前記メモリから読み出されたメモリ読み出し用テストデータを選択して前記メモリ自己テスト回路に伝達する
ことを特徴とする請求項1に記載の半導体集積回路。 - 前記並列回路状態と異なる前記回路状態のときに、
前記第1入力側前段マルチプレクサは前記メモリ自己テスト回路の第1の出力端子から伝達された前記メモリ書き込み用テストデータを選択して前記メモリの第1の入力端子に伝達し、
前記第1出力側前段マルチプレクサは前記メモリから読み出された前記メモリ読み出し用データを選択し、且つ、
前記第1出力側後段マルチプレクサは第1出力側前段マルチプレクサに選択された前記メモリ読み出し用データを選択して前記メモリ自己テスト回路に伝達する
ことを特徴とする請求項1又は2に記載の半導体集積回路。 - 前記並列回路状態と異なる前記回路状態のときに、
前記第1入力側前段マルチプレクサは前記論理回路の第1の出力端子から伝達された前記論理回路用テストデータを選択し、
前記第1入力側後段マルチプレクサは第1入力側前段マルチプレクサに選択された前記論理回路用テストデータを選択し、且つ 前記第1出力側前段マルチプレクサは前記第1入力側後段マルチプレクサに選択された前記論理回路用テストデータを選択して前記論理回路に伝達する
ことを特徴とする請求項1又は2に記載の半導体集積回路。 - 前記迂回回路は、
前記メモリ自己テスト回路の第2の出力端子及び前記論理回路の第2の出力端子に入力側が接続され、前記メモリの第2の入力端子に出力側が接続された第2入力側前段マルチプレクサと、
前記論理回路の第2の出力端子及び前記第2入力側前段マルチプレクサの出力に入力側が接続された第2入力側後段マルチプレクサと、
前記第1入力側後段マルチプレクサの出力及び前記第2入力側後段マルチプレクサの出力が入力側に接続され、前記第1出力側前段マルチプレクサの入力が出力側に接続された排他的論理和ゲート
とを更に備えることを特徴とする請求項1〜4のいずれか1項に記載の半導体集積回路。
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003370947A JP4105077B2 (ja) | 2003-10-30 | 2003-10-30 | 半導体集積回路 |
US10/894,089 US7254762B2 (en) | 2003-10-30 | 2004-07-19 | Semiconductor integrated circuit |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003370947A JP4105077B2 (ja) | 2003-10-30 | 2003-10-30 | 半導体集積回路 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005135527A JP2005135527A (ja) | 2005-05-26 |
JP4105077B2 true JP4105077B2 (ja) | 2008-06-18 |
Family
ID=34543913
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003370947A Expired - Fee Related JP4105077B2 (ja) | 2003-10-30 | 2003-10-30 | 半導体集積回路 |
Country Status (2)
Country | Link |
---|---|
US (1) | US7254762B2 (ja) |
JP (1) | JP4105077B2 (ja) |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7603603B2 (en) * | 2005-05-31 | 2009-10-13 | Stmicroelectronics Pvt. Ltd. | Configurable memory architecture with built-in testing mechanism |
JP4693526B2 (ja) * | 2005-07-06 | 2011-06-01 | 株式会社東芝 | 半導体集積回路、および、半導体集積回路のテスト方法 |
US7484153B2 (en) * | 2005-12-06 | 2009-01-27 | Kabushiki Kaisha Toshiba | Systems and methods for LBIST testing using isolatable scan chains |
US7490280B2 (en) | 2006-02-28 | 2009-02-10 | International Business Machines Corporation | Microcontroller for logic built-in self test (LBIST) |
KR100752657B1 (ko) * | 2006-02-28 | 2007-08-29 | 삼성전자주식회사 | Pll을 이용하여 메모리 액세스 타임을 테스트하는테스트 장치 및 테스트 방법 |
JP4751216B2 (ja) * | 2006-03-10 | 2011-08-17 | 株式会社東芝 | 半導体集積回路及びその設計装置 |
JP4808109B2 (ja) * | 2006-09-01 | 2011-11-02 | 富士通セミコンダクター株式会社 | 半導体装置 |
KR100825783B1 (ko) * | 2006-10-10 | 2008-04-29 | 삼성전자주식회사 | 테스트 패턴 발생회로 및 이를 구비하는 반도체 메모리장치 |
JP2008262630A (ja) * | 2007-04-11 | 2008-10-30 | Matsushita Electric Ind Co Ltd | 半導体集積回路及びメモリ検査方法 |
JP4902511B2 (ja) * | 2007-12-10 | 2012-03-21 | 株式会社日立製作所 | 半導体集積回路の高速テスト |
US7844869B2 (en) * | 2008-01-16 | 2010-11-30 | International Business Machines Corporation | Implementing enhanced LBIST testing of paths including arrays |
US7702975B2 (en) * | 2008-04-08 | 2010-04-20 | International Business Machines Corporation | Integration of LBIST into array BISR flow |
US7925950B2 (en) * | 2009-02-26 | 2011-04-12 | International Business Machines Corporation | Implementing enhanced array access time tracking with logic built in self test of dynamic memory and random logic |
JP2010225239A (ja) * | 2009-03-24 | 2010-10-07 | Toshiba Corp | 半導体集積回路およびメモリの機能検証方法 |
JP2011149775A (ja) * | 2010-01-20 | 2011-08-04 | Renesas Electronics Corp | 半導体集積回路及びコアテスト回路 |
JP5408052B2 (ja) * | 2010-06-23 | 2014-02-05 | 富士通セミコンダクター株式会社 | 集積回路、シミュレーション装置、及びシミュレーション方法 |
TWI466124B (zh) * | 2011-05-27 | 2014-12-21 | Realtek Semiconductor Corp | 測試系統 |
US9336342B2 (en) * | 2011-09-23 | 2016-05-10 | Synopsys, Inc. | Memory hard macro partition optimization for testing embedded memories |
US20140149817A1 (en) * | 2012-11-27 | 2014-05-29 | International Business Machines Corporation | Diagnostic testing for a double-pumped memory array |
US9116876B2 (en) | 2012-12-18 | 2015-08-25 | Qualcomm Incorporated | Programmable built-in-self tester (BIST) in memory controller |
US9599672B2 (en) * | 2014-12-11 | 2017-03-21 | Nxp Usa, Inc. | Integrated circuit with scan chain having dual-edge triggered scannable flip flops and method of operating thereof |
JP6491507B2 (ja) * | 2015-03-20 | 2019-03-27 | ルネサスエレクトロニクス株式会社 | 半導体装置、電子装置および半導体装置の自己診断方法 |
US10247776B2 (en) * | 2017-02-22 | 2019-04-02 | International Business Machines Corporation | Structurally assisted functional test and diagnostics for integrated circuits |
US10613142B2 (en) | 2017-02-22 | 2020-04-07 | International Business Machines Corporation | Non-destructive recirculation test support for integrated circuits |
JP6832787B2 (ja) * | 2017-04-28 | 2021-02-24 | ルネサスエレクトロニクス株式会社 | 半導体装置および半導体装置のテスト方法 |
US10585142B2 (en) | 2017-09-28 | 2020-03-10 | International Business Machines Corporation | Functional diagnostics based on dynamic selection of alternate clocking |
US10908213B1 (en) * | 2018-09-28 | 2021-02-02 | Synopsys, Inc. | Reducing X-masking effect for linear time compactors |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2560504B2 (ja) * | 1990-01-22 | 1996-12-04 | 日本電気株式会社 | 組み込み自己テスト回路 |
JPH1116400A (ja) * | 1997-06-24 | 1999-01-22 | Seiko Epson Corp | 半導体集積回路とそのテスト方法 |
US6362015B1 (en) * | 1998-10-30 | 2002-03-26 | Texas Instruments Incorporated | Process of making an integrated circuit using parallel scan paths |
US6088823A (en) * | 1998-06-12 | 2000-07-11 | Synopsys, Inc. | Circuit for efficiently testing memory and shadow logic of a semiconductor integrated circuit |
US6966021B2 (en) * | 1998-06-16 | 2005-11-15 | Janusz Rajski | Method and apparatus for at-speed testing of digital circuits |
US6587979B1 (en) * | 1999-10-18 | 2003-07-01 | Credence Systems Corporation | Partitionable embedded circuit test system for integrated circuit |
US6587996B1 (en) * | 2000-06-12 | 2003-07-01 | Intel Corporation | Device and method for increased fault coverage using scan insertion techniques around synchronous memory |
US7103814B2 (en) * | 2002-10-25 | 2006-09-05 | International Business Machines Corporation | Testing logic and embedded memory in parallel |
JP3869387B2 (ja) * | 2003-04-25 | 2007-01-17 | 株式会社東芝 | 半導体集積回路 |
-
2003
- 2003-10-30 JP JP2003370947A patent/JP4105077B2/ja not_active Expired - Fee Related
-
2004
- 2004-07-19 US US10/894,089 patent/US7254762B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
JP2005135527A (ja) | 2005-05-26 |
US20050097418A1 (en) | 2005-05-05 |
US7254762B2 (en) | 2007-08-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4105077B2 (ja) | 半導体集積回路 | |
US5103450A (en) | Event qualified testing protocols for integrated circuits | |
US5001713A (en) | Event qualified testing architecture for integrated circuits | |
US7650547B2 (en) | Apparatus for locating a defect in a scan chain while testing digital logic | |
US20050240848A1 (en) | Masking circuit and method of masking corrupted bits | |
EP1647828B1 (en) | Automatic fault-testing of logic blocks using internal at-speed logic-BIST | |
US9689924B2 (en) | Circuit for testing integrated circuits | |
JP2003332443A (ja) | 半導体集積回路とその設計支援装置およびテスト方法 | |
US7168021B2 (en) | Built-in test circuit for an integrated circuit device | |
US20030188246A1 (en) | Method and apparatus for deriving a bounded set of path delay test patterns covering all transition faults | |
US6178534B1 (en) | System and method for using LBIST to find critical paths in functional logic | |
US11519961B2 (en) | Extended JTAG controller and method for functional debugging using the extended JTAG controller | |
US5841790A (en) | Apparatus for testing an adapter card ASIC with reconfigurable logic | |
US6480019B2 (en) | Multiple voted logic cell testable by a scan chain and system and method of testing the same | |
JP2014185981A (ja) | 半導体集積回路および半導体集積回路の自己テスト方法 | |
JP2005332555A (ja) | テスト回路、テスト方法、及び半導体集積回路装置 | |
US7284174B2 (en) | Enhanced JTAG interface | |
US8341477B2 (en) | Test board having a plurality of test modules and a test system having the same | |
JPH06201801A (ja) | Bist回路に用いるための改良されたデータ分析器および分析方法 | |
WO2009084424A1 (ja) | 半導体テスト装置、半導体装置および試験方法 | |
WO2002056043A1 (fr) | Appareil de test pour dispositif a semi-conducteur et procede mettant en oeuvre ledit appareil | |
US8917566B2 (en) | Bypass structure for a memory device and method to reduce unknown test values | |
JP5131025B2 (ja) | デジタル信号遅延測定回路、及びデジタル信号遅延測定方法 | |
JP2002148312A (ja) | 集積回路 | |
US6421810B1 (en) | Scalable parallel test bus and testing method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071106 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071228 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080129 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080225 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080318 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080326 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110404 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130404 Year of fee payment: 5 |
|
LAPS | Cancellation because of no payment of annual fees |