JP2005332555A - テスト回路、テスト方法、及び半導体集積回路装置 - Google Patents

テスト回路、テスト方法、及び半導体集積回路装置 Download PDF

Info

Publication number
JP2005332555A
JP2005332555A JP2005043314A JP2005043314A JP2005332555A JP 2005332555 A JP2005332555 A JP 2005332555A JP 2005043314 A JP2005043314 A JP 2005043314A JP 2005043314 A JP2005043314 A JP 2005043314A JP 2005332555 A JP2005332555 A JP 2005332555A
Authority
JP
Japan
Prior art keywords
circuit
test
data
clock
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005043314A
Other languages
English (en)
Inventor
Jiyou Senaga
丈 世永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2005043314A priority Critical patent/JP2005332555A/ja
Publication of JP2005332555A publication Critical patent/JP2005332555A/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Dram (AREA)

Abstract

【課題】入力信号が中断又は変更されても、テストを中断させずに完了させることができるテスト回路、テスト方法、及びテスト回路を含む半導体集積回路装置を提供する。
【解決手段】BIST回路1は、リセットが指示されるまで書込まれたデータを保持し続ける制御レジスタ12と、テストモードを選択するための信号tms,tdiが入力され、クロックtckに同期して制御レジスタ12に信号tms,tdiに基づくデータctrlを書込むTAPコントローラ11と、制御レジスタ12に保持されているデータctrlに基づくテストパターンを生成し、外部クロックexckに同期してSDRAM50に対して出力するパターン生成回路20と、外部クロックexckに同期してSDRAM50から出力されたデータdoutが入力され、SDRAM50の性能の評価を行うデータ比較器30と、外部クロックexckに同期して動作する出力制御回路40を有する。
【選択図】 図2

Description

本発明は、高速半導体メモリ等の被テスト回路のテストを行う内蔵自己テスト(Built−In Self Test(BIST))回路のようなテスト回路、被テスト回路のテスト方法、及び、同じ半導体基板にテスト回路とロジック回路(例えば、CPU)を備えた半導体集積回路装置に関するものである。
半導体メモリ等の半導体集積回路の性能をテストするテスト回路が種々提案されている。例えば、特許文献1には、同期型ダイナミック・ランダム・アクセス・メモリ(Synchronous Dynamic Random Access Memory(SDRAM))をテストするBIST回路が提案されている。このBIST回路は、例えば、JTAG規格に準拠した標準シリアルインタフェース信号であるテスト用入力パターン(テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdi)を受け取り、テストモード信号tms及びテストデータ入力信号tdiに基づき決定されたテストモード選択結果ctrlに応じた内容のテストパターンを生成し、生成されたテストパターンを用いたテストを被テスト回路であるSDRAMに対して行う。
特開2004−93421号公報(段落0034−0063、図1、図2、図4)
しかしながら、上記した従来のBIST回路は、テスト用入力パターン(テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdi)が与えられている期間にSDRAMに対するテストを行うように構成されている。このため、SDRAMのテストの途中で、テスト用入力パターンの入力が中断したり、又は、変更されたりした場合に、所定のテストが中断したり、又は、所定のテストを行うことができないことがある。
本発明の目的は、テストの途中でテスト用入力パターンの入力が中断したり、又は、変更されたりした場合であっても、所定のテストを中断させずに完了させることができるテスト回路及びテスト方法を提供することである。
また、本発明の他の目的は、上記テスト回路を用いることによってレイアウト面積の削減及びテスト時間を短縮することができる半導体集積回路装置を提供することである。
本発明のテスト回路は、リセット信号によってリセットが指示されてデータがクリアされた後にデータが書込まれ、次のリセット信号によってリセットが指示されるまで前記書込まれたデータを保持し続けるレジスタ回路と、被テスト回路のテストに用いられるテストモードを選択するための信号が入力され、第1のクロックに同期して前記レジスタ回路に前記テストモードを選択するための信号に基づくデータを書込む第1の回路と、前記レジスタ回路に保持されているデータに基づくテストパターンを生成し、第2のクロックに同期して前記被テスト回路に対して前記テストパターンに基づくデータを出力する第2の回路と、前記第2のクロックに同期して前記被テスト回路から出力されたデータが入力され、前記テストパターン及び前記被テスト回路から出力されたデータに基づいて前記被テスト回路の性能の評価を行う第3の回路とを有するものである。
また、本発明の半導体集積回路装置は、半導体基板に形成された前記テスト回路と、
前記半導体基板に形成されたロジック回路と、前記半導体基板に形成され、前記テスト回路の前記第1の回路と前記ロジック回路の両方に接続された第1の共通配線と、前記半導体基板に形成され、前記第1の共通配線に接続された第1の共通端子とを有するものである。
また、本発明のテスト方法は、リセット信号によってリセットが指示されてデータがクリアされた後にデータが書込まれ、次のリセット信号によってリセットが指示されるまで前記書込まれたデータを保持し続けるレジスタ回路を含むテスト回路を用いるテスト方法であって、被テスト回路のテストに用いられるテストモードを選択するための信号が前記テスト回路に入力され、第1のクロックに同期して、前記レジスタ回路に前記テストモードを選択するための信号に基づくデータを書込むステップと、前記レジスタ回路に保持されているデータに基づくテストパターンを生成し、第2のクロックに同期して前記被テスト回路に対して前記テストパターンに基づくデータを出力するステップと、前記第2のクロックに同期して前記被テスト回路から出力されたデータが前記テスト回路に入力され、前記テストパターン及び前記被テスト回路から出力されたデータに基づいて前記被テスト回路の性能の評価を行うステップとを有するものである。
本発明のテスト回路又はテスト方法においては、リセット信号によってリセットが指示された後にデータが書込まれ、次のリセット信号によってリセットが指示されるまで書込まれたデータを保持し続けるレジスタ回路を用いる。このレジスタ回路は、リセット信号によってリセットが指示されるまで書込まれたデータを保持し続けるので、レジスタ回路への入力データが変更されても、リセット信号によってリセットが指示されない限り、レジスタ回路が保持しているデータは変更されない。このため、レジスタ回路に保持されているデータに基づいてテストパターンを生成し、被テスト回路に対してテストパターンに基づくデータを出力し、被テスト回路から出力されたデータに基づいて被テスト回路の性能の評価を行う被テスト回路のテスト中においては、テスト回路への入力データは、テスト動作に影響を与えることはない。よって、本発明のテスト回路又はテスト方法によれば、テストの途中でテスト回路への入力であるテスト用入力パターンの入力が中断したり、又は、変更されたりした場合であっても、所定のテストを中断させずに完了させることができるという効果がある。
また、本発明の半導体集積回路装置は、同じ半導体基板に、ロジック回路と、被テスト回路のテストの途中でレジスタ回路への入力であるテスト用入力パターンの入力が中断したり、又は、変更されたりした場合であっても、所定のテストを中断させずに完了させることができるテスト回路とを備えている。このため、テスト回路による被テスト回路のテストの途中においては、共通端子と共通配線を通してロジック回路への入力信号を供給することができる。よって、本発明の半導体集積回路装置によれば、入力信号用のセレクタ回路を備えなくても、テスト回路への信号の供給及びロジック回路への信号の供給が可能であり、セレクタ回路を備えないことによるレイアウト面積の削減、及び、セレクタ回路の切り替え動作に伴う信号遅延の排除によるテスト時間の短縮を実現できるという効果がある。
<第1の実施形態>
図1は、本発明の第1の実施形態に係るテスト回路であるBIST回路1の概略的な構成と、このBIST回路1に接続されたテスタ65及び被テスト回路であるSDRAM50を示すブロック図である。また、図2は、図1のBIST回路1の概略的な構成を示すブロック図である。
BIST回路1は、被テスト回路であるSDRAM50(半導体メモリの1つである)をテストするためにSDRAM50のコマンドを生成する回路である。図1には、BIST回路1をテスタ65に接続して使用する場合を示す。BIST回路1は、テスタ65から出力された信号が入力されるBIST制御回路10と、パターン生成回路20、データ比較器30、及び出力制御回路40を有している。BIST制御回路10の出力ctrlは、パターン生成回路20及びデータ比較器30に供給される。また、データ比較器30の出力compoutは、出力制御回路40に供給される。BIST制御回路10、パターン生成回路20、データ比較器30、及び出力制御回路40は、例えば、同一の半導体基板に形成されている。
BIST制御回路10には、例えば、テスタ65から、SDRAM50に対するテストモードを選択するためのデータ等が入力される。第1の実施形態においては、BIST制御回路10には、JTAG規格に準拠した標準シリアルインタフェース用のデータである、40MHz程度の第1のクロックであるテストクロックtck、テストモード信号tms、テストデータ入力信号tdi、及びテストリセット信号trstnが入力される。BIST制御回路10は、入力されたテストクロックtckに同期して、テストモード選択結果を示す複数ビットのBIST制御信号ctrlをパターン生成回路20へ出力する。第1の実施形態においては、BIST制御回路10は、テストモード選択結果であるBIST制御信号ctrlを保持する保持回路であるデータレジスタ12Aを有している。
パターン生成回路20には、BIST制御信号ctrl、及びテスタ65から供給される外部クロック(例えば、100MHz以上の外部クロック)exckが入力される。パターン生成回路20は、入力されたBIST制御信号ctrlに応答し、外部クロックexckに同期してテストパターンを生成する。このテストパターンには、例えば、SDRAM50の入力信号であるクロックsck(外部クロックexckに同期したクロック)、複数ビットのコマンド(制御信号)csb,rasb,casb,web、複数ビットのアドレスadr、及び複数ビットの入力データdinが含まれる。クロックsck、コマンドcsb,rasb,casb,web、アドレスadr、及び入力データdinは、SDRAM50へ出力される。また、パターン生成回路20は、入力データdinを、期待値としてデータ比較器30にも出力する。
パターン生成回路20から出力された制御信号csbは、SDRAM50内に設けられた複数のメモリセルアレイの中の1つを選択する反転チップセレクト(chip select)信号である。パターン生成回路20から出力された制御信号rasbは、行アドレスのラッチ及びその行アドレスに基づき、ワード線の選択やメモリセルのリフレッシュを行う反転ロウアドレスストローブ(row address strobe)信号である。パターン生成回路20から出力された制御信号casbは、列アドレスのラッチ及びその列アドレスに基づき、ビット線の選択を行い、書き込み又は読み出し動作を行う反転カラムアドレスストローブ(column addresss strobe)信号である。また、パターン生成回路20から出力された制御信号webは、行アドレス、列アドレスで選択されたメモリセルに対する書き込み又は読み出しモードを決める反転ライトイネーブル(write enable)信号である。
データ比較器30には、外部クロックexckに同期してSDRAM50のテスト結果である出力データdoutと、BIST制御信号ctrlと、SDRAM50に与えられたテストパターン(例えば、期待値である複数ビットからなる入力データdin)とが入力される。データ比較器30は、期待値である入力データdinとSDRAM50からの出力データdoutとを比較し、入力データdinと出力データdoutとの一致又は不一致を示す比較結果compoutを出力制御回路40へ出力する。
出力制御回路40には、比較結果compoutが入力され保持される。出力制御回路40は、テスタ65から入力された外部クロックexckに同期して、保持された比較結果compoutに対応するテストデータ出力信号tdoをテスタ65へ出力する。
図3は、図1に示されるSDRAM50の概略的な構成を示すブロック図である。
SDRAM50においては、図1のパターン生成回路20から出力されたコマンドcsb,rasb,casb,webが、コマンドコントローラ51に与えられると、このコマンドコントローラ51から、クロックsckに同期したSDRAM全体を制御するための複数の制御信号が出力される。コマンドコントローラ51の出力制御信号が、入/出力(I/O)コントローラ52とI/Oバッファ53に入力されると、I/Oコントローラ52の制御により、I/Oバッファ53に対してデータdinの入力又はデータdoutの出力が行われる。
SDRAM50にパターン生成回路20から出力されたアドレスadrが入力されると、内部行アドレスカウンタ54の出力アドレスで指定された行アドレスバッファ55内に、入力されたアドレスadrが保持される。保持されたアドレスadrは、行デコーダ58−1,58−2により解読(デコード)され、ワードドライバ59−1,59−2により駆動されてメモリセルアレイ61−1,61−2内のワード線が選択される。また、入力されたアドレスadrは、内部列アドレスカウンタ56の出力アドレスで指定された列アドレスバッファ57内にそのアドレスadrが保持される。保持されたアドレスadrは、列デコーダ60−1,60−2によりデコードされ、メモリセルアレイ61−1,61−2中のビット線が選択される。選択されたワード線及びビット線に接続されたメモリセルに対して、I/Oバッファ53から入力された入力データdinの書き込み、又は記憶されたデータの読み出しが行われる。読み出されたデータは、センスアンプ62−1,62−2で増幅された後、I/Oバッファ53から出力データdoutとして出力される。
次に、図2を参照しながら、第1の実施形態におけるBIST回路1の構成をより詳細に説明する。
BIST制御回路10は、TAPコントローラ11と、制御レジスタ12とを有する。TAPコントローラ11の出力側は、制御レジスタ12に接続されている。TAPコントローラ11には、シリアルなテストクロックtck、テストモード信号tms、及びシリアルなテストデータ入力信号tdiが入力され、レジスタ制御信号S11を制御レジスタ12へ出力する。制御レジスタ12には、レジスタ制御信号S11、シリアルなテストデータ入力信号tdi、及びテストリセット信号trstnが入力される。制御レジスタ12は、起動信号である論理値1(ハイレベル‘H’)の複数ビットのBIST制御信号ctrlを生成して内部のデータレジスタ12Aに保持し、この保持したBIST制御信号ctrl(ctrl0,ctrl1,ctrl2)をパターン生成回路20及びデータ比較器30へ出力する。
パターン生成回路20は、ステートマシン21、コマンド生成回路22、アドレス生成回路23、データ生成回路24、及びバッファ25を有する。コマンド生成回路22、アドレス生成回路23、及びデータ生成回路24は、ステートマシン21の出力側に接続され、バッファ25は、ステートマシン21の入力側に接続されている。ステートマシン21は、制御レジスタ12から与えられるBIST制御信号ctrlが‘H’になると動作し、入力される外部クロックexckに同期して複数種類のステートを生成し、コマンド生成回路22、アドレス生成回路23及びデータ生成回路24を制御するための制御信号を出力する。バッファ25は、外部クロックexckにより駆動され、クロックsckをSDRAM50へ与える。
ステートマシン21の制御により、コマンド生成回路22で複数ビットのコマンドcsb,rasb,casb,webが生成され、アドレス生成回路23で複数ビットのアドレスadrが生成され、データ生成回路24で複数ビットの入力データdinが生成され、これらの信号がテストパターンとしてSDRAM50に供給される。
データ比較器30は、BIST制御信号ctrlにより動作し、外部クロックexckに同期してSDRAM50の複数ビットの出力データdoutを取り込むフリッブフロッブ(FF)回路31を有している。FF回路31の出力側には、データ比較用の2入力の排他的論理和ゲート(EXOR回路)32、及びデータ比較用の多入力のEXOR回路33が縦続接続されている。EXOR回路32は、FF回路31で取り込んだSDRAM50の複数ビットの出力データdoutと、データ生成回路24から与えられる期待値の複数ビットの入力データdinとを比較し、両入力が不一致の時には出力がハイレベル‘H’となり、両入力が一致するときには出力がローレベル‘L’となる回路である。EXOR回路32の出力側に接続されたEXOR回路33は、EXOR回路32の複数の出力信号の各々を比較して比較結果compoutを出力制御回路40へ出力する。
出力制御回路40は、比較結果compoutとシリアルなテストデータ出力信号tdoとを入力する2入力の論理和ゲート(OR回路)41と、この出力側に接続された出力信号保持用のFF回路42とを有する。FF回路42は、外部クロックexckに同期してOR回路41の出力信号を保持し、この保持結果をOR回路41の入力側に帰還する。FF回路42は、テストリセット信号trstnによりクリアされる。比較結果compoutのハイレベル‘H’は、この出力制御回路40により保持され、この保持内容に対応するシリアルなテストデータ出力信号tdoが、外部クロックexckに同期してテスタ65へ出力される。ここで、保持内容のハイレベル‘H’は、テストリセット信号trstnによってクリアされる。
図4は、図1及び図2に示されるBIST制御回路10の概略的な構成を示すブロック図である。
BIST回路10を構成するTAPコントローラ11は、ステートマシン11A、命令レジスタ11B、及び命令デコーダ11Cを有している。また、BIST回路10を構成する制御レジスタ12は、データ保持手段である複数ビットのデータレジスタ12A、複数ビットのデータデコーダ12B、及び3入力のOR回路12Cを有している。
TAPコントローラ11のステートマシン11Aは、命令レジスタ11Bと制御レジスタ12内のデータレジスタ12Aとを制御するために、入力されたテストクロックtcK及びテストモード信号tmsに基づいて、命令レジスタ(Instruction Register(IR))11Bに与える制御信号(クロック信号clock−IR、シフト信号shift−IR、及びアップデート信号update−IR)と、データレジスタ(Data Register(DR))12Aに与える制御信号(クロック信号clock−DR、シフト信号shift−DR、及びアップデート信号update−DR)とを出力する。命令レジスタ11Bは、テストデータ入力信号tdiとステートマシン11Aから与えられる制御信号(クロック信号clock−IR、シフト信号shift−IR、及びアップデート信号update−IR)に基づき、テスト命令を保持する。命令レジスタ11Bの出力側には、命令デコーダ11Cが接続されている。命令デコーダ11Cは、テスト命令をデコードしてレジスタ制御信号S11を制御レジスタ12へ出力する。
制御レジスタ12において、データレジスタ12Aは、テストデータ入力信号tdi、ステートマシン11Aから与えられる制御信号(クロック信号clock−IR、シフト信号shift−IR、及びアップデート信号update−IR)、及びOR回路12Cで求めた論理和の制御信号ctrl_orに基づき、テストモード選択結果である制御データを保持する。データレジスタ12Aの出力側には、データデコーダ12Bが接続されている。データデコーダ12Bは、制御データをデコードして、例えば、3ビットのBIST制御信号ctrl(ctrl0,ctrl1,ctrl2)をパターン生成回路20及びデータ比較器30へ出力する回路であり、この出力側にOR回路12Cが接続されている。OR回路12Cは、3ビットのBIST制御信号ctrl(ctrl0,ctrl1、ctrl2)を入力し、この諭路和を求めて制御信号ctrl_orをデータレジスタ12Aへ出力する。
図5は、図4に示される複数ビットのデータレジスタ12Aを構成する1ビットの単位データレジスタ12A−nの概略的な構成を示すブロック図である。
複数ビットのデータレジスタ12Aは、複数個の1ビット単位データレジスタ12A−n(nは正の整数であり、縦続接続されたレジスタの中のn段目であることを示す。)を有し、これらがシフトデータ出力側に縦続接続されている。各単位データレジスタ12A−nは、マルチプレクサ(MUX)回路71、このMUX回路71の出力側に接続されたFF回路72、このFF回路72の出力側に接続されたMUX回路73、及びこのMUX回路73の出力側に接続されたFF回路74を有している。MUX回路71は、ステートマシン11Aからのシフト信号shift−DRに基づき、入力されたデータDn−1と、前段の単位データレジスタ12A−nから入力されたシフトデータSDn−1との、いずれかー方を選択する。FF回路72は、クロック信号clock−DRに基づき、MUX回路71の出力データをシフトして、このシフトデータSDを次段の単位データレジスタ12A−(n+1)へ出力する。FF回路72は、テストリセット信号trstnの反転信号(図6に示される期間t5〜t6のローレベル‘L’)によりリセットされる。MUX回路73は、制御信号ctrl_orに基づき、FF回路72からのシフトデータSD又はFF回路74からのデータDのいずれか一方を選択して出力する。FF回路74は、アップデート信号update−DRに基づき、MUX回路73の出力データを保持して、このデータ出力をMUX回路73の入力側に帰還する。FF回路74は、テストリセット信号trstnの反転信号(図6に示される期間t5〜t6のローレベル‘L’)によりリセットされる。
この単位データレジスタ12A−nにおいて、MUX回路71は、シフト信号shift−DRが‘0’のときに、入力されたデータDn−1を選択してFF回路72へ出力し、シフト信号shift−DRが‘1’のとき、前段の単位データレジスタ12A−nからのシフトデータSDn−1を選択してFF回路72へ出力する。FF回路72は、クロック信号clock−DRに基づき、MUX回路71の出力データをシフトし、このシフトデータSDを次段の単位データレジスタ12A−(n+1)へ出力すると共に、MUX回路73へ出力する。MUX回路73は、制御信号ctrl_orが‘0’のとき、シフトデータSDを選択してFF回路74へ出力し、制御信号ctrl_orが‘1’のとき、FF回路74のデータ出力Dを選択してこのFF回路74へ出力する。FF回路74は、アップデート信号update−DRに基づき、MUX回路73からのデータを保持する。これらのMUX回路73及びFF回路74により、データラッチ回路が構成されている。
このように、単位データレジスタ12A−nは、制御信号shift−DR,clock−DRに基づき、前段の単位データレジスタ12A−(n−1)からのシフトデータ入力をシフトし、次段の単位データレジスタ12A−(n+1)へ順次送ったり、アップデート信号update−DRに基づき、MUX回路73及びFF回路74からなるデータラッチ回路に保持されたデータ出力をデータデコーダ12Bへ出力したりすることができる。ここで、制御信号ctrl_orが‘1’のときには、FF回路72の出力データを受け付けず、MUX回路73及びFF回路74からなるデータラッチ回路によりデータを保持し、この保持したデータは、テストリセット信号trstnの‘L’によってクリアされ、この条件以外で書き換わることがなく、MUX回路73及びFF回路74からなるデータラッチ回路により常にデータを保持し、出力し続ける。
図6は、第1の実施形態に係るBIST回路1の動作(即ち、第1の実施形態に係るテスト方法)を示す動作波形図である。この動作波形図では、SDRAM50の読み出し動作の例が示されている。
先ず、SDRAM50をテスタするための書き込み動作を簡単に説明する。テスタ65からシリアルなテストクロックtck、テストモード信号tms、テストデータ入力信号tdi、及び外部クロックexckを出力して、BIST回路1へ供給する。すると、BIST回路1内において、テストクロックtckに同期して、BIST制御回路10から複数ビットのBIST制御信号ctrlが出力される。この複数ビットのBIST制御信号ctrlをトリガにして、パターン生成回路20が動作し、外部クロックexckに同期してクロックsck、複数ビットのコマンドcsb,rasb,casb,web、複数ビットのアドレスadr、及び複数ビットの入力データdinが生成され、SDRAM50に供給される。SDRAM50に供給された複数ビットの入力データdinは、図3のメモリセルアレイ61−1,61−2内のメモリセルに順次書き込まれる。
次に、図6を参照して、SDRAM50の読み出し動作を説明する。
図6の時刻t0において、テスタ65からBIST回路1へ、テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdiを供給することにより、テストパターン、アドレススキャン法等のテストモードを決定するためのデータが、BIST制御回路10に入力される。テスタ65から供給された外部クロックexckは、パターン生成回路20内のバッファ25に供給され、その外部クロックexckに同期したクロックsckがバッファ25から出力されてSDRAM50に与えられる。
時刻t1において、BIST制御回路10にてテストモードが選択され、この選択結果に対応した複数ビットのBIST制御信号ctrlのハイレベル‘H’が、テストクロックtckに同期して制御レジスタ12から出力され、パターン生成回路20及びデータ比較器30へ供給される。さらに、制御レジスタ12では、制御信号ctrlのハイレベル‘H’を受けてOR回路12Cから出力される制御信号ctrl_orがハイレベル‘H’になり、データレジスタ12Aに入力する。これより以降、データレジスタ12Aでは、BIST制御信号ctrlがローレベル‘L’になるまでの間、テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdiに依存せず(即ち、これらの入力パターンが中断されたり、又は、パターン内容が変更されたりしたとしても)、FF回路74にデータが保持され続け、出力され続ける。
時刻t2において、パターン生成回路20は、BIST制御信号ctrlのハイレベル‘H’をトリガとしてステートマシン11Aが外部クロックexckに同期して動作し、コマンド生成回路22がコマンドcsb,rasb,casb,webを生成すると共に、アドレス生成回路23がアドレスadrを生成する。これらのコマンドcsb,rasb,casb,web及びアドレスadrのテストパターンは、SDRAM50に与えられる。さらに、データ生成回路24は、入力データdinを生成し、SDRAM50及びデータ比較器30に与える。
制御信号csbがローレベル‘L’、制御信号rasbがローレベル‘L’になり、その後、制御信号casbがローレベル‘L’になると、図3のメモリセルアレイ61−1,61−2に書き込まれていたテスト用のデータQ1,Q2,Q3,Q4,…が順次読み出され、この出力データdoutがデータ比較器30に与えられる。
データ比較器30では、BIST制御信号ctrlによってFF回路31が動作し、このFF回路31により、外部クロックexckに同期して出力データdoutが取り込まれる。取り込まれた出力データdoutは、EXOR回路32,33により、期待値の入力データdinと比較される。出力データdoutと期待値の入力データdinとが一致するときには、EXOR回路33の比較結果compoutがローレベル‘L’、不一致のときには比較結果compoutがハイレベル‘H’となる。
時刻t3において、例えば、SDRAM50の出力データdoutのデータQ3が不良を示すものである場合、データ比較器30によって比較結果compoutがハイレベル‘H’となる。
時刻t4において、出力制御回路40では、比較結果compoutをOR回路41を介して入力し、外部クロックexckに同期してFF回路42に取り込み、以降、この状態を保持する。さらに、外部クロックexckに同期して出力されたテストデータ出力信号tdoをテスタ65に与える。テスタ65では、テストデータ出力信号tdoにてSDRAM50のパス・フェイル判定を行うことができる。
時刻t5において、テスタ65にてテストリセット信号trstnをローレベル‘L’にすることにより、データレジスタ12A内のFF回路72,74がリセットされ、BIST制御信号ctrlがローレベル‘L’になる。また、出力制御回路40内のFF回路42がリセットされ、テストデータ出力信号tdoがローレベル‘L’になる。
時刻t6において、テスタ65にてテストリセット信号trstnをハイレベル‘H’にすることによって、時刻t0からの動作を繰り返すことができる。ここで、時刻t1からt6までの間(図6において、テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdiについてクロスハッチングで示す期間)、データレジスタ12A内のFF回路74にてデータ出力が保持されているため、テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdiは、どのような入力状態となってもBIST動作に影響を与えることはない。
以上に説明したように、第1の実施形態においては、リセット信号によってリセットが指示された後にデータが書込まれ、次のリセット信号trstnによってリセットが指示されるまで(即ち、図6における時刻t1からt6まで)、書込まれたデータを保持し続ける制御レジスタ12を用いる。この制御レジスタ12は、リセット信号trstnによってリセットが指示されるまで書込まれたデータを保持し続けるので、BIST回路1への入力データが変更されても、リセット信号trstnによってリセットが指示されない限り、制御レジスタ12が保持しているデータは変更されない。このため、制御レジスタ12に保持されているデータに基づいてテストパターンを生成し、SDRAM50に対してテストパターンに基づくデータを出力し、SDRAM50から出力されたデータdoutに基づいてSDRAM50の性能の評価を行うSDRAM50のテスト中においては、制御レジスタ12への入力データであるテストクロックtck,テストモード信号tms,テストデータ信号tdiは、テスト動作に影響を与えることはない。よって、第1の実施形態のBIST回路1又はテスト方法によれば、テストの途中でBIST回路1への入力であるテスト用入力パターン(テストクロックtck,テストモード信号tms,テストデータ信号tdi)の入力が中断したり、又は、変更されたりした場合であっても、所定のテストを中断させずに完了させることができる。
なお、上記説明においては、テストクロックtckがテスタ65から供給される場合を説明したが、BIST回路1が、テストクロックtckを生成するオシレータを備えてもよい。
また、上記説明においては、外部クロックexckがテスタ65から供給される場合を説明したが、BIST回路1が、外部クロックexckを生成するオシレータを備えてもよい。
<第2の実施形態>
図7は、本発明の第2の実施形態に係る半導体集積回路装置であるシステムLSI100の概略的な構成、及びシステムLSI100に接続されたテスタ65を示すブロック図である。また、図8は、第2の実施形態の比較例の概略的な構成を示すブロック図である。
図8に示されるような比較例のシステムLSI100aでは、複数の共通端子pi1〜pi3,po1に信号選択用のセレクタ111aを接続し、複数の共通端子をセレクタ111aにより切り換えて、コントロールチップ110a内のBIST回路1a又はロジック回路130に接続する。このような構成を採用した場合には、システムLSI100aの外部端子の数を削減できるものの、以下のような問題が生じる。例えば、BIST回路1aを用いたSDRAM50のテスト中は、BIST回路1aに与えるテスト用入力パターンの供給を中断できなので、SDRAM50のテストとロジック回路130のテストを並行して行うことができない。また、入力信号をBIST回路1a又はロジック回路130のいずれかに切替えて入力させるセレクタ111aを備えているので、セレクタ111aを構成する素子による信号遅延によってテスト動作が遅くなったり、セレクタ111aが複数の切換スイッチ部(図8においては4個)を有するのでシステムLSIを形成するためのレイアウト面積が増大したりする。
第2の実施形態においては、上記第1の実施形態で説明したBIST回路1を用いることにより、SDRAM50のテストとロジック回路130のテストを並行して行うことができ、テスト動作の遅延やレイアウト面積の増大を回避できる半導体集積回路装置を提案する。
図7に示される第2の実施形態のシステムLSI100は、システム全体を制御するコントロールチップ110と、SDRAM50とを、同一のパッケージ内に収容した装置である。システムLSI100のパッケージには、テスタ65と電気的に接続するためのテスト端子部pi1〜pi5,po1が備えられている。また、用途上必要に応じてパッケージに他の外部端子(図示せず)も備えられている。図7には、テスト端子部として、入力端子pi1〜pi5と、出力端子po1とが示されている。
入力端子pi1は、第1のクロックであるテストクロックtck及びロジック回路130テスト用の第3のクロックclkを、共通配線101を通して、BIST回路1とロジック回路130の両方に入力するための共通端子(第1のクロック端子)である。入力端子pi2は、テストモード信号tms及び第1の入力信号in1を、共通配線102を通して、BIST回路1とロジック回路130の両方に入力するための共通端子(第1の入力端子)である。入力端子pi3は、テストデータ入力信号tdi及び第2の入力信号in2を、共通配線103を通して、BIST回路1とロジック回路130の両方に入力するための共通端子(第2の入力端子)である。入力端子pi4は、テストリセット信号trstnを、BIST回路1に入力するための端子である。入力端子pi5は、モード信号modeを、セレクタ111に入力するための端子である。出力端子po1は、BIST回路1からのテストデータ出力信号tdo又はロジック回路130からの出力信号outを外部へ出力するための共通端子である。
コントロールチップ110は、内部のテストデータ出力信号tdo又は出力信号outのいずれか一方を選択して外部へ出力するためのセレクタ111と、図1及び図2(第1の実施形態)に示されるBIST回路1と、外部クロックexckを発生するクロック発生手段(例えば、オシレータ120)と、システムLSI全体を制御するために論理処理を行うCPU等のロジック回路130とを有し、これらが同一の半導体基板上に形成されている。
セレクタ111は、出力側が出力端子po1に接続され、入力側から与えられるテストデータ出力信号tdoと出力信号outとのいずれか一方をモード信号modeに基づいて選択して出力端子po1へ出力する回路であり、ゲート回路等で構成されている。
BIST回路1は、入力端子pi1に共通配線101により接続されたテストクロックtck端子、入力端子pi2に共通配線102により接続されたテストモード信号tms端子、入力端子pi3に共通配線103により接続されたテストデータ入力信号tdi端子、入力端子pi4に接続されたテストリセット信号trstn端子、セレクタ111に接続されたテストデータ出力信号tdo端子、及び外部クロックexcKの入力端子を有すると。また、BIST回路1は、SDRAM50の出力データdoutを入力する入力端子、及びSDRAM50の入力信号(クロックsck、コマンドcsb,rasb,casb,web、アドレスadr、及び入力データdin)を出力する端子群を有している。
ロジック回路130は、入力端子pi1に共通配線101により接続されたクロックclk端子、入力端子pi2に共通配線102により接続された入力信号in1端子、入力端子pi3に共通配線103により接続された入力信号in2端子、及びセレクタ111に接続された出力信号out端子等を有している。このロジック回路130は、テスタ65から供給されるテスト用クロックclkに同期してテスト用入力信号in1,in2の論理動作を行い、テスト結果である出力信号outをテスタ65へ出力する機能等を有している。
図9は、図7のシステムLSI100のテスト時の動作(テスト方法)の一例を示す動作波形図である。
テストがスタートし、ステップST1において、テスタ65からシステムLSI100の入力端子pi1〜pi3に対して、入力信号を与える。この入力信号は、ロジック回路130及びBIST回路1の両方に対して供給されることになるが、ステップST1では、例えば、BIST回路1にて意味を持つ入力パターンを与える。即ち、テストクロックtckに同期して、テストモード信号tms及びテストデータ入力信号tdi(テストパターン、アドレススキャン方法等のテストモードを決定するためのデータ)がBIST回路1に入力され、BIST回路1が活性化する。
ステップST2において、活性化されたBIST回路1は、ステップST1で決定されたテストモードに従い、オシレータ120から供給される外部クロックexckに同期して、SDRAM50に供給するためのクロックsck、コマンドcsb,rasb,casb,web、及びアドレスadrを生成し、SDRAM50のテストを行う。このステップST2の期間(図6又は図9において、テストクロックtck、テストモード信号tms、及びテストデータ入力信号tdiについてクロスハッチングで示す期間)中は、BIST回路1は、外部クロックexckに同期して動作し、他の入力信号には依存しない。言い換えれば、ロジック回路130を動作させるための入力信号が共通端子pi1,pi2,pi3から共通配線101,102,103を通して与えられても、BIST回路1の動作は、影響を受けない。
そこで、例えば、BIST回路1と並行してロジック回路130のテストを行うために、ステップST2において同時に、テスタ65からシステムLSI1O0の入力端子pi1〜pi3に対して入力信号を与える。このステップST2では、ロジック回路130にて意味を持つ入力パターンを与え、セレクタ111は、モード信号modeによりロジック回路130の出力信号out側を選択する。ロジック回路130のテストを行い、このテスト結果である出力信号outを外部端子po1を介してテスタ65に取り込んで、ロジック回路130のパス・フェイル判定を行う。
ステップST3において、セレクタ111は、モード信号modeによりBIST回路1のテストデータ出力信号do側を選択する。SDRAM50のテスト結果であるテストデータ出力信号tdoを出力端子po1を介してテスタ65に取り込んで、SDRAM50のパス・フェイル判定を行う。その後、テスタ65より入力端子pi4を介してBIST回路1のテストリセット信号trstnを与え、このBIST回路1をリセットし、テストを終了する。
以上に説明したように、第2の実施形態の半導体集積回路装置であるシステムLSI100は、ロジック回路130に加えて、被テスト回路のテストの途中でレジスタ回路への入力であるテスト用入力パターンの入力が中断したり、又は、変更されたりした場合であっても、所定のテストを中断させずに完了させることができるBIST回路1(第1の実施形態におけるBIST回路)を備えている。このため、BIST回路1によるSDRAM50のテストの途中(ステップST2)においては、共通端子pi1,pi2,pi3と共通配線101,102,103を通してロジック回路130へ入力信号を供給することができる。よって、第2の実施形態の半導体集積回路装置によれば、入力信号用のセレクタ回路(例えば、比較例を示す図9のような大規模なセレクタ111a)を備えなくても、テスト回路への信号の供給及びロジック回路への信号の供給が可能であり、レイアウト面積の削減ができるという効果がある。また、従来のような入力側セレクタによる信号遅延がないことから、高速でのテストが実現できる。
<変形例>
本発明は、上記第1及び第2の実施形態において説明された構成には限定されず、以下に示すような種々の変形が可能である。
例えば、上記第1の実施形態においては、図5に示されるように、単位データレジスタ12A−n内に設けられたデータラッチ回路は、MUX回路73及びFF回路74により構成されている。しかし、例えば、図10に単位データレジスタ12A−naとして示されるように、図5におけるMUX回路73を省略し、制御信号ctrl_orとアップデート信号update_DRを2入力の論理積ゲート(AND回路)75に入力し、このAND回路75の出力信号をFF回路74のクロック入力端子に入力すると共に、FF回路74のデータ入力端子をFF回路72のデータ出力端子に接続する構成としてもよい。この構成は、アップデート信号update_DRをAND論理にてゲーティッドクロックとする方法である。図10に示される構成によっても、図5の場合と同様に、データを保持することができる。
また、上記第2の実施形態においては、図7に示されるように、BIST回路1と1個のロジック回路130とが並列接続された半導体集積回路装置を説明したが、本発明は、BIST回路1と複数個のロジック回路とを並列接続した半導体集積回路装置にも適用できる。
さらに、上記第1及び第2の実施形態においては、被テスト回路としてSDRAM50を用いた場合を説明したが、本発明は、SRAM(Static RAM)、FlashROM、P2ROM(Production Programmed ROM)等の他の半導体メモリ、又は、ロジック回路等の半導体集積回路のような種々の被テスト回路に対して適用できる。
本発明の第1の実施形態に係るテスト回路であるBIST回路の概略的な構成と、テスタ及びSDRAMを示すブロック図である。 図1に示されるBIST回路の概略的な構成を示すブロック図である。 図1に示されるSDRAMの概略的な構成を示すブロック図である。 図2に示されるBIST制御回路の概略的な構成を示すブロック図である。 図4に示される複数ビットのデータレジスタにおける1ビットの単位データレジスタの概略的な構成を示すブロック図である。 第1の実施形態に係るBIST回路の動作を説明するための動作波形図である。 本発明の第2の実施形態に係る半導体集積回路装置であるシステムLSIの概略的な構成と、テスタを示すブロック図である。 第2の実施形態の比較例の概略的な構成を示すブロック図である。 第2の実施形態に係る半導体集積回路装置の動作を説明するための動作波形図である。 他の単位データレジスタの概略的な構成を示すブロック図である。
符号の説明
1 BIST回路(テスト回路)、
10 BIST制御回路、
11 TAPコントローラ、
12 制御レジスタ、
12A データレジスタ、
12A−n,12A−na 単位データレジスタ、
12B データデコーダ、
12C OR回路、
20 パターン生成回路、
30 データ比較器、
40 出力制御回路、
50 SDRAM(被テスト回路)、
65 テスタ、
100 システムLSI(半導体集積回路装置)、
101〜103 共通配線、
110 コントロールチップ、
111 セレクタ、
120 オシレータ、
130 ロジック回路、
pi1〜pi3 共通入力端子、
pi4,pi5 入力端子、
po1 出力端子。

Claims (13)

  1. リセット信号によってリセットが指示されてデータがクリアされた後にデータが書込まれ、次のリセット信号によってリセットが指示されるまで前記書込まれたデータを保持し続けるレジスタ回路と、
    被テスト回路のテストに用いられるテストモードを選択するための信号が入力され、第1のクロックに同期して前記レジスタ回路に前記テストモードを選択するための信号に基づくデータを書込む第1の回路と、
    前記レジスタ回路に保持されているデータに基づくテストパターンを生成し、第2のクロックに同期して前記被テスト回路に対して前記テストパターンに基づくデータを出力する第2の回路と、
    前記第2のクロックに同期して前記被テスト回路から出力されたデータが入力され、前記テストパターン及び前記被テスト回路から出力されたデータに基づいて前記被テスト回路の性能の評価を行う第3の回路と
    を有することを特徴とするテスト回路。
  2. 前記第3の回路による前記評価の結果を、前記第2のクロックに同期して外部に出力する第4の回路をさらに有することを特徴とする請求項1に記載のテスト回路。
  3. 前記第3の回路による前記評価が、前記テストパターンと前記被テスト回路から出力されたデータとの一致又は不一致の判定に基づいて行われることを特徴とする請求項1又は2のいずれかに記載のテスト回路。
  4. 前記第1のクロックは、前記第1の回路の外部から前記第1の回路に入力されたクロックであり、
    前記第2のクロックは、前記第2及び第3の回路の外部から前記第2及び第3の回路に入力されたクロックである
    ことを特徴とする請求項1から3までのいずれかに記載のテスト回路。
  5. 前記第2のクロックを生成するオシレータをさらに有することを特徴とする請求項1から3までのいずれかに記載のテスト回路。
  6. 前記第2のクロックが、前記被テスト回路の実動作周波数に等しい周波数を持つことを特徴とする請求項1から5までのいずれかに記載のテスト回路。
  7. 半導体基板に形成された請求項1から6までのいずれかに記載のテスト回路と、
    前記半導体基板に形成されたロジック回路と、
    前記半導体基板に形成され、前記テスト回路の前記第1の回路と前記ロジック回路の両方に接続された第1の共通配線と、
    前記半導体基板に形成され、前記第1の共通配線に接続された第1の共通端子と
    を有することを特徴とする半導体集積回路装置。
  8. 前記第1の共通端子に、前記第1のクロック又は前記ロジック回路の動作タイミングを与える第3のクロックが入力されることを特徴とする請求項7に記載の半導体集積回路装置。
  9. 前記第1の共通端子に、前記テストモードを選択するための信号又は前記ロジック回路を動作させるためのデータが入力されることを特徴とする請求項7に記載の半導体集積回路装置。
  10. 前記半導体基板に形成され、前記テスト回路の前記第1の回路と前記ロジック回路の両方に接続された第2の共通配線と、
    前記半導体基板に形成され、前記第2の共通配線に接続された第2の共通端子と
    をさらに有し、
    前記第2の共通端子に、前記テスト回路から出力された信号又は前記ロジック回路から出力された信号が入力される
    ことを特徴とする請求項8に記載の半導体集積回路装置。
  11. リセット信号によってリセットが指示されてデータがクリアされた後にデータが書込まれ、次のリセット信号によってリセットが指示されるまで前記書込まれたデータを保持し続けるレジスタ回路を含むテスト回路を用いるテスト方法であって、
    被テスト回路のテストに用いられるテストモードを選択するための信号が前記テスト回路に入力され、第1のクロックに同期して、前記レジスタ回路に前記テストモードを選択するための信号に基づくデータを書込むステップと、
    前記レジスタ回路に保持されているデータに基づくテストパターンを生成し、第2のクロックに同期して前記被テスト回路に対して前記テストパターンに基づくデータを出力するステップと、
    前記第2のクロックに同期して前記被テスト回路から出力されたデータが前記テスト回路に入力され、前記テストパターン及び前記被テスト回路から出力されたデータに基づいて前記被テスト回路の性能の評価を行うステップと
    を有することを特徴とするテスト方法。
  12. 前記被テスト回路の評価を行うステップにおける評価結果を、前記第2のクロックに同期して外部に出力するステップをさらに有することを特徴とする請求項11に記載のテスト方法。
  13. 前記被テスト回路の評価を行うステップにおける前記評価が、前記テストパターンと前記被テスト回路から出力されたデータとの一致又は不一致の判定に基づいて行われることを特徴とする請求項11又は12のいずれかに記載のテスト方法。
JP2005043314A 2004-04-23 2005-02-21 テスト回路、テスト方法、及び半導体集積回路装置 Pending JP2005332555A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005043314A JP2005332555A (ja) 2004-04-23 2005-02-21 テスト回路、テスト方法、及び半導体集積回路装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004128651 2004-04-23
JP2005043314A JP2005332555A (ja) 2004-04-23 2005-02-21 テスト回路、テスト方法、及び半導体集積回路装置

Publications (1)

Publication Number Publication Date
JP2005332555A true JP2005332555A (ja) 2005-12-02

Family

ID=35487069

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005043314A Pending JP2005332555A (ja) 2004-04-23 2005-02-21 テスト回路、テスト方法、及び半導体集積回路装置

Country Status (1)

Country Link
JP (1) JP2005332555A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008059725A (ja) * 2006-09-01 2008-03-13 Fujitsu Ltd 半導体装置
JP2008269669A (ja) * 2007-04-17 2008-11-06 Renesas Technology Corp 半導体装置及びデータ処理システム
WO2012035651A1 (ja) * 2010-09-17 2012-03-22 富士通株式会社 回路装置、周波数変更回路、回路装置の試験方法、及び周波数変更回路の制御方法
US8661293B2 (en) 2011-06-30 2014-02-25 International Business Machines Corporation Test architecture based on intelligent test sequence
JP2014215178A (ja) * 2013-04-25 2014-11-17 セイコーインスツル株式会社 半導体装置
JP2017502386A (ja) * 2013-12-26 2017-01-19 インテル・コーポレーション 集積回路の欠陥検出および修復
CN112614534A (zh) * 2020-12-17 2021-04-06 珠海市一微半导体有限公司 一种mbist电路***
KR20210042854A (ko) * 2020-07-24 2021-04-20 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 테스트하는 방법, 전자 기기, 저장 매체, 프로그램 및 상응한 칩
JP2021535488A (ja) * 2018-08-31 2021-12-16 エヌビディア コーポレーション 自動車用途のための配置中にビルトイン・セルフテストを実行するためのテスト・システム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02128266A (ja) * 1988-11-09 1990-05-16 Ascii Corp 保護機能付レジスタ
JPH05298187A (ja) * 1991-12-18 1993-11-12 Nippon Telegr & Teleph Corp <Ntt> 機能回路における初期設定情報の保護方式
WO1998025213A1 (fr) * 1996-12-04 1998-06-11 Hitachi, Ltd. Dispositif de circuit semi-conducteur integre
JP2003208331A (ja) * 2001-10-12 2003-07-25 Sun Microsyst Inc デュアル・モードasicbist制御装置
JP2003346499A (ja) * 2002-05-28 2003-12-05 Oki Electric Ind Co Ltd 半導体装置及びそのテスト方法
JP2004093421A (ja) * 2002-08-30 2004-03-25 Oki Electric Ind Co Ltd テスト回路、そのテスト回路を内蔵した半導体集積回路装置、及びそのテスト方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02128266A (ja) * 1988-11-09 1990-05-16 Ascii Corp 保護機能付レジスタ
JPH05298187A (ja) * 1991-12-18 1993-11-12 Nippon Telegr & Teleph Corp <Ntt> 機能回路における初期設定情報の保護方式
WO1998025213A1 (fr) * 1996-12-04 1998-06-11 Hitachi, Ltd. Dispositif de circuit semi-conducteur integre
JP2003208331A (ja) * 2001-10-12 2003-07-25 Sun Microsyst Inc デュアル・モードasicbist制御装置
JP2003346499A (ja) * 2002-05-28 2003-12-05 Oki Electric Ind Co Ltd 半導体装置及びそのテスト方法
JP2004093421A (ja) * 2002-08-30 2004-03-25 Oki Electric Ind Co Ltd テスト回路、そのテスト回路を内蔵した半導体集積回路装置、及びそのテスト方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008059725A (ja) * 2006-09-01 2008-03-13 Fujitsu Ltd 半導体装置
JP2008269669A (ja) * 2007-04-17 2008-11-06 Renesas Technology Corp 半導体装置及びデータ処理システム
US7774667B2 (en) 2007-04-17 2010-08-10 Renesas Technology Corp. Semiconductor device and data processing system
WO2012035651A1 (ja) * 2010-09-17 2012-03-22 富士通株式会社 回路装置、周波数変更回路、回路装置の試験方法、及び周波数変更回路の制御方法
JPWO2012035651A1 (ja) * 2010-09-17 2014-01-20 富士通株式会社 回路装置、周波数変更回路、回路装置の試験方法、及び周波数変更回路の制御方法
US8810297B2 (en) 2010-09-17 2014-08-19 Fujitsu Limited Circuit device, frequency changing circuit, method of testing circuit device, and method of controlling frequency changing circuit
US8661293B2 (en) 2011-06-30 2014-02-25 International Business Machines Corporation Test architecture based on intelligent test sequence
JP2014215178A (ja) * 2013-04-25 2014-11-17 セイコーインスツル株式会社 半導体装置
JP2017502386A (ja) * 2013-12-26 2017-01-19 インテル・コーポレーション 集積回路の欠陥検出および修復
US9922725B2 (en) 2013-12-26 2018-03-20 Intel Corporation Integrated circuit defect detection and repair
JP2021535488A (ja) * 2018-08-31 2021-12-16 エヌビディア コーポレーション 自動車用途のための配置中にビルトイン・セルフテストを実行するためのテスト・システム
JP7385651B2 (ja) 2018-08-31 2023-11-22 エヌビディア コーポレーション 自動車用途のための配置中にビルトイン・セルフテストを実行するためのテスト・システム
KR20210042854A (ko) * 2020-07-24 2021-04-20 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 테스트하는 방법, 전자 기기, 저장 매체, 프로그램 및 상응한 칩
JP2021193366A (ja) * 2020-07-24 2021-12-23 ベイジン バイドゥ ネットコム サイエンス テクノロジー カンパニー リミテッド チップをテストするための方法、電子デバイス、コンピュータ可読記憶媒体、対応するチップ及びコンピュータプログラム
US11639964B2 (en) 2020-07-24 2023-05-02 Beijing Baidu Netcom Science And Technology Co., Ltd. Method, apparatus and storage medium for testing chip, and chip thereof
JP7339976B2 (ja) 2020-07-24 2023-09-06 クンルンシン テクノロジー (ベイジン) カンパニー リミテッド チップをテストするための方法、電子デバイス、コンピュータ可読記憶媒体、対応するチップ及びコンピュータプログラム
KR102583044B1 (ko) * 2020-07-24 2023-09-25 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 테스트하는 방법, 전자 기기, 저장 매체, 프로그램 및 상응한 칩
CN112614534A (zh) * 2020-12-17 2021-04-06 珠海市一微半导体有限公司 一种mbist电路***
CN112614534B (zh) * 2020-12-17 2023-09-05 珠海一微半导体股份有限公司 一种mbist电路***

Similar Documents

Publication Publication Date Title
US7225379B2 (en) Circuit and method for testing semiconductor device
US7721174B2 (en) Full-speed BIST controller for testing embedded synchronous memories
EP1377981B1 (en) Method and system to optimize test cost and disable defects for scan and bist memories
US7603603B2 (en) Configurable memory architecture with built-in testing mechanism
JP2005332555A (ja) テスト回路、テスト方法、及び半導体集積回路装置
US20040128596A1 (en) Method and apparatus for testing embedded cores
US7533309B2 (en) Testing memories using algorithm selection
US8780648B2 (en) Latch based memory device
US7729185B2 (en) Apparatus and method for detection of address decoder open faults
US6510530B1 (en) At-speed built-in self testing of multi-port compact sRAMs
US20130275824A1 (en) Scan-based capture and shift of interface functional signal values in conjunction with built-in self-test
US7231563B2 (en) Method and apparatus for high speed testing of latch based random access memory
US7249295B2 (en) Test circuit for semiconductor device
US7484154B2 (en) Semiconductor integrated circuit, method for testing semiconductor integrated circuit, and computer readable medium for the same
US20130311843A1 (en) Scan controller configured to control signal values applied to signal lines of circuit core input interface
US20040153806A1 (en) Technique for testability of semiconductor integrated circuit
US7134058B2 (en) Memory circuit scan arrangement
JP2007272982A (ja) 半導体記憶装置およびその検査方法
US6341092B1 (en) Designing memory for testability to support scan capability in an asic design
US7117406B2 (en) Semiconductor memory device and method of testing same
US11984178B2 (en) Methods and devices for flexible RAM loading
JP2004512630A (ja) at−speedでのマルチポートCsRAMの組み込み自己検査
US7047468B2 (en) Method and apparatus for low overhead circuit scan
US20240145020A1 (en) Circuit for testing memories
JP2002243801A (ja) 半導体集積回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070808

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20081203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090129

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100223

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100622