JP3901265B2 - 薄板状基体の搬送方法及び搬送装置 - Google Patents

薄板状基体の搬送方法及び搬送装置 Download PDF

Info

Publication number
JP3901265B2
JP3901265B2 JP31519396A JP31519396A JP3901265B2 JP 3901265 B2 JP3901265 B2 JP 3901265B2 JP 31519396 A JP31519396 A JP 31519396A JP 31519396 A JP31519396 A JP 31519396A JP 3901265 B2 JP3901265 B2 JP 3901265B2
Authority
JP
Japan
Prior art keywords
chamber
thin plate
substrate
transfer
inert gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP31519396A
Other languages
English (en)
Other versions
JPH10163288A (ja
Inventor
昌之 都田
忠弘 大見
良夫 石原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiyo Nippon Sanso Corp
Original Assignee
Taiyo Nippon Sanso Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP31519396A priority Critical patent/JP3901265B2/ja
Application filed by Taiyo Nippon Sanso Corp filed Critical Taiyo Nippon Sanso Corp
Priority to DE69736224T priority patent/DE69736224T2/de
Priority to PCT/JP1997/004242 priority patent/WO1998024123A1/ja
Priority to KR1019980705631A priority patent/KR100295983B1/ko
Priority to US09/101,879 priority patent/US6343239B1/en
Priority to EP97912528A priority patent/EP0889515B1/en
Priority to TW086117595A priority patent/TW355176B/zh
Publication of JPH10163288A publication Critical patent/JPH10163288A/ja
Application granted granted Critical
Publication of JP3901265B2 publication Critical patent/JP3901265B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Feeding Of Articles By Means Other Than Belts Or Rollers (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、例えば半導体集積回路、液晶パネル、太陽電池パネルに用いられる薄板状基体を、搬送ロボットを用いて工程間で搬送する搬送方法及び搬送装置に関する。
【0002】
【従来の技術】
半導体集積回路、半導体レーザなどの半導体素子、アクティブマトリクス型液晶パネル、太陽電池パネルなどは、表面が高度に清浄化されたシリコン基板、ガリウムヒ素基板、ガラス基板などの上に、種々の所定の薄膜などを順次積層処理して製造される。これらの各部品の製造には極めて高い精度が要求され、処理の施される基板、すなわち薄板状基体の表面に僅かでも不純物が付着、吸着していると、高品質の製品の製造が困難になる。また、薄板状基体は、搬送中に、あるいは各種の処理を経ることにより帯電することがあり、その結果、雰囲気中の不純物を引き寄せ、付着させやすい。
【0003】
例えば、不純物となる水分が、製造工程中の半導体基板の表面に吸着していると、製造工程に障害が起きてしまう。さらに、この水分が多く、その雰囲気中に酸素が存在すると、基板表面に自然酸化膜が形成され、その後の所定の薄膜形成などが行えなくなる。また、液晶パネルに使用される薄膜トランジスタ(TFT)の製造工程において、SiNx などからなる絶縁膜の表面に水分が存在していると、その上にアモルファスシリコン(a−Si)膜を均一の厚さに精度良く形成することができなくなる。また、集積回路(IC)の製造のゲート酸化膜工程では、n部またはp部の表面に水分が存在すると、SiO2 とSiの界面にSiOx 膜が形成されてしまい、MOSトランジスタがスイッチとして機能しなくなる。同様に、キャパシタの表面に水分が存在すると、その界面にSiOx 膜が形成されてしまい、キャパシタ電極へのチャージができず、記憶素子として機能しなくなる。また、配線工程では、タングステン(W)膜を形成する前に、タングステンシリサイドによるスパイク防止のためにTiN膜を形成するが、基板上に水分が存在すると、TiN膜の密着性が低下するなどの不具合を生じる。
【0004】
また、水以外の不純物、例えばメタン等の有機系不純物の存在下で熱処理等を行うと、基板(シリコン)表面で炭素とシリコンが反応して、SiC膜が形成されてしまい、デバイスの動作特性に不具合を生じる。
【0005】
通常、半導体集積回路などの製造で用いられる各種処理装置は、微粒子を除去したクリーンルーム内に配置される。半導体集積回路などは多くの処理工程を経て製造されるので、各工程作業を施すために、ある処理装置から次工程の処理装置ヘと次々にワーク(薄板状基体)が搬送される。そのため、この搬送時に、薄板状基体はクリーンルーム内の空気に晒されることになる。
【0006】
クリーンルーム内は、通常、温度20〜25℃、相対湿度約50%に保たれ、微粒子は除去されているものの、ガス状不純物は多量に存在する。そのため、クリーンルーム空気中に存在する不純物が、薄板状基体の表面に吸着することになる。例えば、水分は瞬時に薄板状基体の表面に吸着する。この水分の吸着を防止するために、クリーンルーム内全体の水分を除去することは実際上困難である。
【0007】
そこで、処理装置から次の処理装置へと薄板状基体を搬送する際に、特殊な搬送ロボットを利用して不活性ガス雰囲気下で、薄板状基体を移送する搬送システムが提案されている。
【0008】
この場合の搬送ロボットは、薄板状基体を不活性ガス雰囲気下で収容し得る収納ボックス(収納室)と、移動のための搬送機構とを備えている。また、各工程作業を実施する処理装置には、不活性ガス雰囲気下で薄板状基体の受け渡しができる搬送室が付設されている。
【0009】
この搬送システムでは、搬送ロボットを駆動することで、収納ボックス内に不活性ガス雰囲気下で収納した薄板状基体を、ある工程の搬送室から次の工程の搬送室まで搬送する。そして、搬送室の前に搬送ロボットが到着した段階で、搬送ロボットの収納ボックスと搬送室とを接続し、それぞれのゲートを開口させて、収納ボックスと搬送室との間で薄板状基体の受け渡しを行う。例えば、収納ボックスから搬送室に薄板状基体を搬入したら、その薄板状基体を搬送室から処理装置に移動し、所定の処理を行う。処理が終了したら、薄板状基体を搬送室に戻して、再び収納ボックスに戻す。そして、ゲートを閉じて、搬送ロボットを駆動することにより、薄板状基体を次工程へと搬送する。
【0010】
【発明が解決しようとする課題】
ところで、搬送ロボットの収納ボックスと処理装置側の搬送室との間で薄板状基体を受け渡す場合、収納ボックスと搬送室の各ゲートに設けたゲート弁を介して行うことになるが、ゲート弁はその前面に、構造上避けられない凹部を有している。そのため、この凹部にクリーンルーム内の空気が溜まり、その結果、薄板状基体の搬入及び搬出の際に、同時にその凹部に溜まった空気が、搬送室や収納ボックス内に混入してしまうという問題がある。したがって、たとえ収納ボックス内、搬送室内、処理装置内を、微粒子や水分、ガス状不純物等のほとんどない高清浄な雰囲気に保持したとしても、薄板状基体の搬入、搬出の際に、前記の僅かな空気の混入が避けられず、薄板状基体に施す各処理に悪影響を及ぼすおそれがあった。
また、収納ボックスに薄板状基体を収納して薄板状基体を次工程に搬送する際に、収納ボックス内を高清浄な雰囲気に保持するために、搬送ロボットには高純度不活性ガスを充填した容器(充填容器)を保持させている。そして、収納ボックス内の不純物を監視していなかったため、この充填容器から常に必要量以上のガスの流量で通気していた。その結果、充填容器が大型化し、搬送ロボット自身が大きくなるという問題があった。充填容器の小型化のために、ガス流量を低下させると高清浄雰囲気が保持し難くなるという問題も生じていた。
【0011】
本発明は、上記事情を考慮し、収納ボックスを備えた搬送ロボットによって薄板状基体をある工程から次工程へ搬送する場合に、ゲート弁の前面の凹部に溜まる空気の混入を防止することができる搬送方法及び搬送装置を提供することを目的とする。
【0012】
【課題を解決するための手段】
請求項1に係る発明は、不活性ガス雰囲気下で薄板状基体を収納し得る収納室を備えた搬送ロボットを用いて、処理装置を付設し且つ不活性ガス雰囲気に保持される搬送室相互間で薄板状基体を搬送する方法において、前記搬送ロボットの収納室と処理装置側の搬送室間での薄板状基体の搬入出時に、収納室と搬送室との間に接続室を配置し、該接続室を減圧状態にして内部に不活性ガスを導入した後で、収納室と搬送室のゲートを開口して、薄板状基体の搬入出を行うことを特徴とする薄板状基体の搬送方法である。
請求項2に係る発明は、前記不活性ガスを半導体レーザ検出器にて測定し、その結果から前記各々の室の不純物存在比を算出し、その算出結果に基づいて、各室への不活性ガス導入流量及び薄板状基体の搬入出動作を制御することを特徴とする請求項1記載の薄板状基体の搬送方法である。
請求項3に係る発明は、前記収納室、搬送室、接続室の各体積をV1〔cm〕、V2〔cm〕、V3〔cm〕とし、前記各室内の不純物存在比をγ1、γ2、γ3とし、前記薄板状基体の全表面積をS〔cm〕とした場合、
2.7×1019〔molecules/cm
×(V1×γ1+V2×γ2+V3×γ3)
≦1013〔molecules/cm〕×S
を満足している時に、前記薄板状基体を前記収納室と前記搬送室との間で搬入出することを特徴とする請求項1または2記載の薄板状基体の搬送方法である。
請求項4に係る発明は、請求項1から3のいずれか1項記載の薄板状基体の搬送方法において、前記不活性ガスに代えて、不活性ガスと酸素ガスとの混合ガスを用いることを特徴とする薄板状基体の搬送方法である。
【0013】
請求項5に係る発明は、薄板状基体の処理装置に気密な搬送室を付設し、該搬送室に外部との間で薄板状基体を搬入出する搬入出口を設け、この搬入出口に該搬入出口を気密に遮蔽するゲート弁を設け、一方、前記搬送室間を移動し得る搬送ロボットに、薄板状基体を収納する気密な収納室を設け、該収納室に外部との間で薄板状基体を搬入出する搬入出口を設け、この搬入出口に該搬入出口を気密に遮蔽するゲート弁を設け、収納室と搬送室を接続した状態でゲート弁を開くことにより、薄板状基体を搬入出する薄板状基体の搬送装置において、
前記収納室と搬送室との間に介在される気密な接続室を設け、
前記収納室、搬送室、接続室のそれぞれに、不活性ガスを通気するためのガス導入口及び排気口、通気を減圧下で行うための真空排気手段を設けたことを特徴とする薄板状基体の搬送装置である。
請求項6に係る発明は、前記各室の不純物濃度を測定する半導体レーザ検出器と、前記不純物濃度から各室の不純物存在比を算出し、その算出結果に基づいて前記各室への不活性ガスの導入流量および収納室と搬送室との間での薄板状基体の搬入出を制御する制御手段とを備えたことを特徴とする請求項5記載の薄板状基体の搬送装置である。
請求項7に係る発明は、請求項5または6記載の薄板状基体の搬送装置において、前記不活性ガスに代えて、不活性ガスと酸素ガスとの混合ガスを用いることを特徴とする薄板状基体の搬送装置である。
【0014】
【発明の実施の形態】
以下、本発明の実施形態を図面に基づいて説明する。
まず、本発明の搬送装置の概要について説明する。この搬送装置は、製造過程において幾つもの処理が施される薄板状基体を、搬送ロボットを用いて搬送するものである。図1は、搬送ロボット30を、処理装置1に付設した搬送室2に接続した状態を示す概略平面図、図2は搬送ロボットの外観図である。
【0015】
この搬送装置では、薄板状基体Sの処理装置1に、気密な搬送室2を付設している。この例では、搬送室2には、2つの処理装置1がそれぞれゲート弁1aを介して接続されている。処理装置1は、薄板状基体Sに所定の処理を施すものであり、例えば薄膜形成工程で使用されるCVD装置や真空蒸着装置、不純物ドーピング工程で使用される拡散炉、エッチング工程て使用されるプラズマエッチング装置などの多くの基板処理装置がそれに該当する。搬送室2には移送ハンド5が設けられており、この移送ハンド5によって、収納ボックス3と搬送室2間及び搬送室2と処理装置1間において薄板状基体Sの取り人れや取り出しが行えるようになっている。
【0016】
搬送室2には、外部との間で薄板状基体Sを搬入出する搬入出口2aが設けられ、この搬入出口2aには、該搬入出口2aを気密に遮蔽し得るゲート弁GV2が設けられている。また、このゲート弁GV2の外側には、内部空間によって接続室4を構成する接続管4aが接合されている。接続管4aは、図4に示すように、一端のフランジ4bによって搬送室2側に取り付けられており、他端のフランジ4bに、搬送ロボット30側のゲート弁GV1(後述)の前端面を気密に接続できるようになっている。
【0017】
一方、搬送ロボット30は、複数の搬送室2間を自由に移動し得るもので、可搬型の薄板状基体収納ボックス(収納室)3を具備している。この搬送ロボット30は、図2に示すように、無発塵シート36を敷いた床上のマグネットレール31に沿って誘導され、モータ33を駆動することにより自走する。収納ボックス3は気密保持が可能なものであり、その前面には、収納室3の内部と外部との間で薄板状基体Sを搬入出する搬入出口3aが設けられ、この搬入出口3aには搬入出口3aを気密に遮蔽するゲート弁GV1が設けられている。
【0018】
ゲート弁GV1、GV2は、図3(a)、(b)に閉鎖時及び開放時を示すように、搬入出口2a、3aを確保した適当板厚のケーシング8aに、スライド自在にゲート板8cを設け、ゲート板8cを駆動機構8bによって動作させることにより、前記搬入出口2a、3aを開閉し得るものであり、ゲート板8cを閉じた状態で、図3(a)に示すように、ゲート板8cの前面側に凹部8dが形成される。
【0019】
また、図1に示すように、前記搬送ロボット30の収納ボックス3には、不活性ガスによって収納ボックス3の内部を通気(パージ)するためのガス導入口11及び排気口21が設けられている。ガス導入口11にはバルブV1が設けられており、ガス導入口11をガス供給源に接続した状態でこのバルブV1を開くことにより、不活性ガスを収納ボックス3内に導入できるようになっている。
【0020】
さらに、搬送室2及び接続室4にも、それぞれ個別に、高清浄な雰囲気を形成するため、不活性ガスを通気させるガス導入口12、13および排出口22、23が設けられている。各ガス導入口12、13には、バルブV2、V3が設けられており、ガス導入口12、13をガス供給源に接続した状態でこれらのバルブV2、V3を開くことにより、不活性ガスを搬送室2、接続室4に個別に導入できるようになっている。なお、それぞれのガス導入口のバルブV1,V2,V3の上流には、それぞれ流量制御機器C1,C2,C3が設けられている。
【0021】
各ガス排出口21、22、23は真空排気手段(一部を真空ポンプ25として図示)に接続され、各室の排気ラインには、不純物を測定する不純物測定手段、例えば半導体レーザ検出器K1、K2、K3が設けられている。また、半導体レーザ検出器K1、K2、K3の測定結果に応じて、各ゲート弁GV1、GV2、各ガス導入口の流量制御機器C1,C2,C3や移送ハンド5の動作制御を行うべく、制御手段10が設けられている。排気ラインに、半導体レーザ検出器(不純物測定手段)を設けたオンライン計測の他、各室に窓部を設け、この窓部を介してレーザ発振器、発振器からのレーザ光を受光する受光器を設ければ、その場計測(in-situ計測)を行うこともできる。
【0022】
ここで導入する不活性ガスは、薄板状基体Sと反応せず且つ微粒子や水分の除去されたガスであって、この不活性ガスとしては、例えば、窒素ガス、アルゴンガス、へリウムガス等を利用することができる。また、万が一搬送ロボットや処理装置等が破損し、クリーンルーム内に大量の不活性ガスが流出してしまう場合にそなえて、安全対策としてあらかじめパージガスに、酸素ガスを添加した不活性ガスを使用することもできる。その際に添加する酸素ガスは、微粒子、水分、ガス状不純物を除去したものを使用する。なお、上記混合ガス中の酸素濃度は、18%未満の場合クリーンルーム内に酸素濃度の低いガスが大量に流れるおそれがあり、また酸素濃度が22%以上のガスがクリーンルーム内に流れた場合わずかな静電気力によって発火するおそれがあることなどから、混合ガス中の酸素濃度は18容量%から22容量%とすることが望ましい。
【0023】
半導体レーザ検出器K1、K2、K3は、赤外領域に発振波長を持つレーザ光を発振する発振器と、その発振されたレーザ光を受光し、受光したレーザ光の吸収スペクトルを測定する受光器とを少なくとも具備して構成されている。
【0024】
発振器または受光器は、後述する波長のレーザ光を発振し、または受光するものであれば、限定されるものではないが、発振器としては、波長可変レーザであって、InGaAsP系のDFB(Distributed Feedback)半導体レーザが好適である。DFB半導体レーザは回折格子が電流注入領域内にあり、また単一モード発振であるため、モノクロメータ等の分光器を必要とせず、光量の損失も小さく、また装置の小型化を図れる。同様に、単一モード発振で回折格子が電流注入領域外にあるDBR(Distributed Bragg Reflection)も好適である。
【0025】
受光器としては、使用する発振器のレーザ光の波長に感度を有する光検出器であって、例えば、GeやInGaAs等で構成される固体素子光検出器が好適である。このような半導体レーザ検出器による測定であると、収納ボックス、接続室、搬送室の各室からの排気ライン、もしくは各室に半導体レーザ光の透過する窓部を設け、その窓部に発振器や受光器を設けることによって、各室内の雰囲気中の不純物の測定が可能となり、微量の不純物の検出(0.1ppmレベル)が可能となる。また発振波長の調整も容易である。また、瞬時に不純物の濃度を検出することができるので、不純物データをフィードバックさせて活用するのに好適である。
【0026】
前記不純物としてH2Oを検出するものであれば、発振波長を1.35〜1. 42μmとすればよい。同様に、
・CO2 に対しては1.43〜1.46μm、
・CH4 に対しては1.29〜1.50μm、
・SiH4 に対しては1.19〜2.0μm、
・HFに対しては1.25〜1.35μm、
・HBrに対しては1.34〜1.37μm、
・O2 に対しては0.75〜0.78μm
とすればよい。さらに、発振器からの発振波長を0.75〜2μmの範囲で掃引すれば、これらガス状不純物であるH2 、CO2 、CH4 、SiH4 、HF、HBr、O2 等を同時に測定することが可能となる。
【0027】
半導体レーザを用いた不純物の定量は、種々の公知の手段を応用すればよく、例えば特開平5−99845号公報に記載されている方法などを適用できる。簡易には、測定した吸収スペクトルから、収納ボックス3、接続室4、搬送室2内の不活性ガスの吸収スペクトルを差し引き、不純物に係る吸収ピークを確定、同定し、なるべく近傍に妨害ピークがない吸収ピークを選択して、その吸収強度から不純物の定量を行えばよい。また、波長の掃引は、発振器への注入電流あるいは発振器の温度を変えることによって容易に行うことができる。
以上のように、各室内の圧力が大気圧以下の場合、特に有効な半導体レーザ検出器を用いた不純物測定手段について説明したが、各室内の圧力が大気圧を越える場合、ガスクロマトグラフを各室のガス排出口に接続して設ければ、ガス中の水分などの不純物を簡易的にかつ安価に計測することができる。
【0028】
次に本発明の搬送方法を前記搬送装置の作用とともに説明する。
収納ボックス3内に薄板状基体Sを収納した搬送ロボット30を、ある工程に搬送した場合、収納ボックス3を接続室4を介して搬送室2に接続する。そうすると、制御手段10の指令によって、接続室4が減圧状態にされた上で、不活性ガスが通気され、それと同時に、収納ボックス3、接続室4、搬送室2内の不純物濃度が半導体レーザ検出器K1、K2、K3によって測定される。そして、制御手段10にて前記不純物濃度から各室の不純物存在比が算出され、そのデータをもとに、導入されるパージガス(不活性ガス)の流量が制御される。また、それと共に、不純物存在比が所定値になった時に、ゲート弁GV1、GV2が開かれて、接続室4を介して収納ボックス3と搬送室2間で、薄板状基体Sの搬入・搬出が行われる。
【0029】
ところで、収納ボックス3内の不純物存在比が高くなると、搬送ロボット30による次工程への移動中に、収納ボックス3内で薄板状基体Sの表面の不純物量が増大してしまう。また、搬送室2内の不純物存在比が高くなると、処理した薄板状基体Sの表面に多量の不純物が吸着してしまい、歩留まりの低下や次工程での悪影響を招くおそれがある。そのため、常に、収納ボックス3及び搬送室2の各室の不純物の存在比が規定値以下となるように、パージガスの流量が制御される。
【0030】
上記のように、接続室4を介して収納ボックス3と搬送室2を接続し、接続室4内をガスパージした状態で、薄板状基体Sの搬入出を行うことにより、収納ボックス3及び搬送室2のゲート弁GV1、GV2の凹部8d(図3参照)に溜まっていたクリーンルーム内の空気が、薄板状基体Sの搬入出時に伴って搬送室2内へ混入しないようになる。したがって、薄板状基体Sの搬入と同時に混入する不純物による悪影響を回避することができる。
【0031】
特に、半導体レーザ検出器K1、K2、K3で得られた不純物データによって各室2、3、4内のパージガスの流量を制御し、規定値以内の不純物の存在比となった段階で、ゲート弁GV1、GV2を開き、同時に移送ハンド5、6による薄板状基体Sの搬入出を実行するので、常に高清浄な雰囲気下で薄板状基体Sを移送することができる。
そして、不純物データによって各室2,3,4内のパージガスの流量を制御しているので、必要な量だけのガスを各室内へ導入でき、特に搬送ロボットに保持されているパージガス(不活性ガスまたは不活性ガスと酸素ガスの混合ガス)が充填されている容器を小型化することができるようになる。
【0032】
具体的には、不純物が水分または有機炭素の場合、次の段階で搬入出を実行する。
収納ボックス3の体積をV1 〔cm3 〕、搬送室2の体積をV2 〔cm3 〕、接続室4の体積をV3 〔cm3 〕、収納ボックス3内の不純物存在比をγ1 、搬送室2内の不純物存在比をγ2 、接続室4内の不純物存在比γ3 、収納ボックス3あるいは搬送室2に収納している薄板状基体Sの全表面積をS〔cm2 〕とした場合、
2.7×1019〔molecules /cm3
×(V1 ×γ1 +V2 ×γ2 十V3 ×γ3
≦1013〔molecules /cm2 〕×S (式a)
を満足した時に、ゲート弁GV1を開き、移送ハンド5によって収納ボックス3から搬送室2内へ薄板状基体Sを搬入する。
【0033】
このように、搬送系内の不純物吸着量を1013×S以下、好ましくは1010×Sとすれば、薄板状基体の表面の不純物吸着を単分子層吸着以下にすることができる。単分子層吸着であれば、不純物が水分の場合、水分子の周りにはガス分子しか存在しないので、酸素存在下でも自然酸化膜は形成されない。また、有機汚染物(有機炭素物)の場合も、単分子層吸着であれば、わずかなエネルギーで除去することが可能となる。
【0034】
そして、上記(式a)を満足して搬送室2内へ搬入された薄板状基体Sは、所定の処理装置1へ移送ハンド5により取り入れられ、処理装置1によって所定の処理を施される。所定の処理が終了した後は、薄板状基体Sは移送ハンド5により搬送室2から収納ボックス3ヘ再び移送され、搬送ロボット30を駆動することで次工程へと搬送される。
【0035】
【実施例】
本発明の搬送装置を用いて薄板状基体Sの搬送を行い、薄板状基体Sの表面の自然酸化膜の有無及び有機汚染物量を測定した。
但し、条件は以下の通りである。
Figure 0003901265
【0036】
半導体レーザ検出器K1、K2、K3による測定結果から、次の不純物存在比の結果が得られた。
(3)不純物存在比
Figure 0003901265
【0037】
この条件で薄板状基体Sを収納ボックス3から搬送室2へ搬送する搬送実験を行い、収納ボックス3に返還された薄板状基体Sの表面の自然酸化膜、有機汚染物の付着を、X線光電子分光分析装置およびFT−IR(フーリエ変換型赤外分光計)にて測定したところ、両者とも碓認されなかった。
【0038】
【発明の効果】
以上説明したように、本発明によれば、搬送ロボットの収納室と処理装置側の搬送室とを接続室を介して接続し、接続室内をガスパージした状態で、薄板状基体の搬入出を行うようにしたので、収納ボックス及び搬送室のゲート弁の凹部に溜まっていたクリーンルーム内の空気が、薄板状基体の搬入出に伴って搬送室内へ混入しないようになる。したがって、薄板状基体の搬入と同時に混入する不純物による悪影響を防ぐことができる。
また、不純物データによって収納室、搬送室、接続室内のパージガスの流量を制御しているので、必要な量だけのガスを各室内へ導入でき、特に、搬送ロボットに保持されているパージガスを充填した容器を小型化することができる。
【図面の簡単な説明】
【図1】本発明の実施形態の概略平面図である。
【図2】本発明の実施形態における搬送ロボットの外観斜視図である。
【図3】本発明の実施形態におけるゲート弁の要部断面図で、(a)は閉鎖時の状態、(b)は開放時の状態を示す図である。
【図4】図1の要部の拡大図である。
【符号の説明】
1 処理装置
2 搬送室
3 収納ボックス(収納室)
2a,3a 搬入出口
4 接続室
10 制御手段
11,12,13 ガス導入口
21,22,23 ガス排気口
30 搬送ロボット
GV1,GV2 ゲート弁
K1,K2,K3 半導体レーザ検出器

Claims (7)

  1. 不活性ガス雰囲気下で薄板状基体を収納し得る収納室を備えた搬送ロボットを用いて、処理装置を付設し且つ不活性ガス雰囲気に保持される搬送室相互間で薄板状基体を搬送する方法において、
    前記搬送ロボットの収納室と処理装置側の搬送室間での薄板状基体の搬入出時に、収納室と搬送室との間に接続室を配置し、該接続室を減圧状態にして内部に不活性ガスを導入した後で、収納室と搬送室のゲートを開口して、薄板状基体の搬入出を行うことを特徴とする薄板状基体の搬送方法。
  2. 前記不活性ガスを半導体レーザ検出器にて測定し、その結果から前記各々の室の不純物存在比を算出し、その算出結果に基づいて、各室への不活性ガス導入流量及び薄板状基体の搬入出動作を制御することを特徴とする請求項1記載の薄板状基体の搬送方法。
  3. 前記収納室、搬送室、接続室の各体積をV1〔cm〕、V2〔cm〕、V3〔cm〕とし、前記各室内の不純物存在比をγ1、γ2、γ3とし、前記薄板状基体の全表面積をS〔cm〕とした場合、
    2.7×1019〔molecules/cm
    ×(V1×γ1+V2×γ2+V3×γ3)
    ≦1013〔molecules/cm〕×S
    を満足している時に、前記薄板状基体を前記収納室と前記搬送室との間で搬入出することを特徴とする請求項1または2記載の薄板状基体の搬送方法。
  4. 請求項1から3のいずれか1項記載の薄板状基体の搬送方法において、前記不活性ガスに代えて、不活性ガスと酸素ガスとの混合ガスを用いることを特徴とする薄板状基体の搬送方法。
  5. 薄板状基体の処理装置に気密な搬送室を付設し、該搬送室に外部との間で薄板状基体を搬入出する搬入出口を設け、この搬入出口に該搬入出口を気密に遮蔽するゲート弁を設け、一方、前記搬送室間を移動し得る搬送ロボットに、薄板状基体を収納する気密な収納室を設け、該収納室に外部との間で薄板状基体を搬入出する搬入出口を設け、この搬入出口に該搬入出口を気密に遮蔽するゲート弁を設け、収納室と搬送室を接続した状態でゲート弁を開くことにより、薄板状基体を搬入出する薄板状基体の搬送装置において、
    前記収納室と搬送室との間に介在される気密な接続室を設け、
    前記収納室、搬送室、接続室のそれぞれに、不活性ガスを通気するためのガス導入口及び排気口、通気を減圧下で行うための真空排気手段を設けたことを特徴とする薄板状基体の搬送装置。
  6. 前記各室の不純物濃度を測定する半導体レーザ検出器と、前記不純物濃度から各室の不純物存在比を算出し、その算出結果に基づいて前記各室への不活性ガスの導入流量および収納室と搬送室との間での薄板状基体の搬入出を制御する制御手段とを備えたことを特徴とする請求項5記載の薄板状基体の搬送装置。
  7. 請求項5または6記載の薄板状基体の搬送装置において、前記不活性ガスに代えて、不活性ガスと酸素ガスとの混合ガスを用いることを特徴とする薄板状基体の搬送装置。
JP31519396A 1996-11-26 1996-11-26 薄板状基体の搬送方法及び搬送装置 Expired - Fee Related JP3901265B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP31519396A JP3901265B2 (ja) 1996-11-26 1996-11-26 薄板状基体の搬送方法及び搬送装置
PCT/JP1997/004242 WO1998024123A1 (fr) 1996-11-26 1997-11-21 Procede et appareil de transport de substrats en feuilles minces
KR1019980705631A KR100295983B1 (ko) 1996-11-26 1997-11-21 박판형 기판의 운송방법 및 운송장치
US09/101,879 US6343239B1 (en) 1996-11-26 1997-11-21 Transportation method for substrate wafers and transportation apparatus
DE69736224T DE69736224T2 (de) 1996-11-26 1997-11-21 Verfahren und vorrichtung zum transportieren von blattförmigen gegenstanden
EP97912528A EP0889515B1 (en) 1996-11-26 1997-11-21 Method and apparatus for conveying thin sheet-like substrate
TW086117595A TW355176B (en) 1996-11-26 1997-11-24 A method for conveying the thin plate-like substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31519396A JP3901265B2 (ja) 1996-11-26 1996-11-26 薄板状基体の搬送方法及び搬送装置

Publications (2)

Publication Number Publication Date
JPH10163288A JPH10163288A (ja) 1998-06-19
JP3901265B2 true JP3901265B2 (ja) 2007-04-04

Family

ID=18062539

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31519396A Expired - Fee Related JP3901265B2 (ja) 1996-11-26 1996-11-26 薄板状基体の搬送方法及び搬送装置

Country Status (7)

Country Link
US (1) US6343239B1 (ja)
EP (1) EP0889515B1 (ja)
JP (1) JP3901265B2 (ja)
KR (1) KR100295983B1 (ja)
DE (1) DE69736224T2 (ja)
TW (1) TW355176B (ja)
WO (1) WO1998024123A1 (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW392058B (en) 1998-07-08 2000-06-01 Nippon Oxygen Co Ltd System and method for producing and supplying highly clean dry air
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
TW499696B (en) * 1999-04-27 2002-08-21 Tokyo Electron Ltd Processing apparatus and processing method
US6748334B1 (en) * 1999-12-06 2004-06-08 Jorge E. Perez Specialty gas analysis system
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2004022940A (ja) * 2002-06-19 2004-01-22 Tokyo Seimitsu Co Ltd 研磨装置、研磨方法、ウェーハ待避プログラム
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP5332142B2 (ja) * 2007-06-29 2013-11-06 株式会社Ihi 浮上搬送装置
CN101593716B (zh) * 2009-05-12 2011-06-29 上海微电子装备有限公司 器件传输方法及其装置
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
US8062384B2 (en) * 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
WO2010144328A2 (en) * 2009-06-12 2010-12-16 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5557061B2 (ja) * 2012-01-04 2014-07-23 株式会社ダイフク 物品保管設備
EP2690755A1 (de) 2012-07-27 2014-01-29 Siemens Aktiengesellschaft Maschine und Verfahren zum Überwachen derselben
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110581B4 (de) * 2012-11-05 2018-02-22 Schiller Automatisierungstechnik Gmbh Verbessertes Reinraumshuttle
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3134917B1 (de) * 2014-04-23 2021-07-21 Schiller Automatisierungstechnik GmbH Verbessertes reinraumshuttle
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388553B2 (en) * 2015-12-28 2019-08-20 Asm Ip Holding B.V. Substrate processing system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970004947B1 (ko) * 1987-09-10 1997-04-10 도오교오 에레구토론 가부시끼가이샤 핸들링장치
US5083865A (en) * 1990-05-11 1992-01-28 Applied Materials, Inc. Particle monitor system and method
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
US5518360A (en) * 1990-11-16 1996-05-21 Kabushiki-Kaisha Watanabe Shoko Wafer carrying device and wafer carrying method
JPH081923B2 (ja) * 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3059262B2 (ja) * 1991-10-08 2000-07-04 日本酸素株式会社 ガス中の微量水分分析装置
JPH05166732A (ja) * 1991-12-12 1993-07-02 Nkk Corp 真空成膜装置
JP3176118B2 (ja) * 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
WO1997024760A1 (fr) * 1995-12-28 1997-07-10 Nippon Sanso Corporation Procede et dispositif de transfert de substrats en plaques minces
JP3742451B2 (ja) * 1996-01-17 2006-02-01 昌之 都田 洗浄方法

Also Published As

Publication number Publication date
TW355176B (en) 1999-04-01
KR100295983B1 (ko) 2001-08-07
EP0889515B1 (en) 2006-06-28
KR19990081918A (ko) 1999-11-15
JPH10163288A (ja) 1998-06-19
WO1998024123A1 (fr) 1998-06-04
EP0889515A4 (en) 2004-10-20
DE69736224T2 (de) 2007-05-10
US6343239B1 (en) 2002-01-29
EP0889515A1 (en) 1999-01-07
DE69736224D1 (de) 2006-08-10

Similar Documents

Publication Publication Date Title
JP3901265B2 (ja) 薄板状基体の搬送方法及び搬送装置
KR100260957B1 (ko) 박판형상의 기판 이송방법 및 이송장치
US9963785B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR100391872B1 (ko) 수분 모니터링 장치 및 이를 구비한 반도체 제조 장치
US8039404B2 (en) Production method for semiconductor device
US7159599B2 (en) Method and apparatus for processing a wafer
US20060121211A1 (en) Chemical vapor deposition apparatus and chemical vapor deposition method using the same
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
WO1996025760A1 (fr) Procede et machine de fabrication de semiconducteurs
KR101294873B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2012094652A (ja) 基板処理装置および半導体装置の製造方法
TWI431692B (zh) 基板處理方法及基板處理系統
JP6186022B2 (ja) 基板処理装置および半導体装置の製造方法
Liehr Integrated thermal chemical vapor deposition processing for Si technology
CN111755329B (zh) 蚀刻方法和蚀刻装置
CN111276425A (zh) 发光监视方法、基板处理方法和基板处理装置
JPH08125185A (ja) 薄膜トランジスタ製造方法並びに製造装置
JP2001168030A (ja) 薄膜形成方法及び薄膜堆積装置
JPH02200780A (ja) 膜形成装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061226

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100112

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100112

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110112

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110112

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120112

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130112

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140112

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees