JP3844413B2 - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
JP3844413B2
JP3844413B2 JP23519199A JP23519199A JP3844413B2 JP 3844413 B2 JP3844413 B2 JP 3844413B2 JP 23519199 A JP23519199 A JP 23519199A JP 23519199 A JP23519199 A JP 23519199A JP 3844413 B2 JP3844413 B2 JP 3844413B2
Authority
JP
Japan
Prior art keywords
etching
gas
processing chamber
mtorr
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23519199A
Other languages
English (en)
Other versions
JP2001060582A (ja
Inventor
小林  憲
正明 萩原
和香子 内藤
剛一郎 稲沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP23519199A priority Critical patent/JP3844413B2/ja
Priority to TW089116981A priority patent/TW469488B/zh
Priority to PCT/JP2000/005623 priority patent/WO2001015213A1/ja
Priority to EP00954910A priority patent/EP1225621B1/en
Priority to KR1020027002261A priority patent/KR100709817B1/ko
Publication of JP2001060582A publication Critical patent/JP2001060582A/ja
Application granted granted Critical
Publication of JP3844413B2 publication Critical patent/JP3844413B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は,エッチング方法に関する。
【0002】
【従来の技術】
従来,半導体素子の配線にAl合金を用いる場合,層間絶縁膜上にAl合金をスパッタ法で堆積し,その後エッチングして配線パターンを形成していた。この従来のドライエッチングにおいては,処理室内の圧力は,数mTorr〜100mTorrの圧力領域が一般的であった。特開昭60−170238によれば,50〜100mTorrの圧力範囲でエッチング速度を最高にすることができる旨が記載されている。
【0003】
この従来法に対し,近年,ダマシン・プロセスと称されるCu配線の形成が行われている。ダマシン・プロセスとは,層間絶縁膜に配線パターンの溝を形成し,この溝に配線材料を埋め込む方法である。Al合金からCuへの配線材料の変更によって,比抵抗が約半分になり,高速化しやすくなる。科学的機械研磨(CMP)による平坦化が可能になっている現在,ダマシン・プロセスは実用化しやすくなった。
【0004】
また,ダマシン・プロセスの応用技術として,デュアル・ダマシンと称される技術がある。デュアル・ダマシンとは,後工程により配線とビアホールとが形成される逆凸型の溝を層間絶縁膜に形成し,この溝に配線用の金属物質を埋め込むことで配線とビアホールとを同時に形成する技術である。このデュアル・ダマシン用の逆凸型の溝を形成するにあたっては,層間絶縁膜の途中でエッチングを停止させた際に,形成された溝の底面が平坦になるよう制御する必要がある。
【0005】
【発明が解決しようとする課題】
ところで,上述した従来の数mTorr〜100mTorr圧力範囲でこのデュアル・ダマシン用の逆凸型の溝を形成すると,溝の底面が平坦にならず,いわゆるマイクロトレンチングが生じるという問題や,エッチングマスクに対する選択比(以下,「マスク選択比」という。)が低いという問題が生じる。
【0006】
このマイクロトレンチングを防ぐため,所望の深さに下地となる層(以下,「エッチストッパ」と称する。)を形成することが行われている。しかしながら,エッチストッパは誘電率が高いため,配線間にキャパシタが形成されてしまうという別の問題が生じる。配線の微細化が進む最先端技術においては,エッチストッパを用いることなくマイクロトレンチングを防ぐことの可能なエッチング方法の開発が急務となっている。
【0007】
本発明は,従来のエッチング方法が有する上記問題点に鑑みてなされたものであり,本発明の第1の目的は,エッチストッパを用いることなく,マイクロトレンチングを防ぐことの可能な,新規かつ改良されたエッチング方法を提供することである。
【0008】
さらに,本発明の第2の目的は,マスク選択比を向上させることの可能な,新規かつ改良されたエッチング方法を提供することである。
【0009】
【課題を解決するための手段】
上記課題を解決するため,請求項1によれば,気密な処理室内に処理ガスを導入し,処理室内に配置された被処理体に形成された有機層膜に対して,SiO 膜層とフォトレジスト膜層とから成るマスクでエッチングするエッチング方法において,処理ガスは,少なくとも窒素原子含有気体と水素原子含有気体とを含み,真空処理室内の圧力は500mTorr以上であり,有機層膜に対するエッチングを,有機層膜の途中で停止させ,エッチング処理を終了することを特徴とするエッチング方法が提供される。なお,有機膜は比誘電率が3.5以下の低誘電率材料が好ましい。また,真空処理室内の圧力は,請求項2に記載のように,500mTorr〜800mTorrであることが好ましい。
【0010】
処理ガスに少なくとも窒素原子含有気体と水素原子含有気体とを含み,真空処理室内の圧力を500mTorr以上にすると,エッチストッパを用いることなく,マイクロトレンチングを防ぐことができる。また,マスク選択比を高めることができる。従って,エッチングを有機層膜の途中で停止する必要が生じるプロセス,例えばデュアル・ダマシンプロセス等において特に効果的である。
【0011】
また,請求項3に記載のように,窒素原子含有気体としてNを採用してもよく,水素原子含有気体としてHを採用してもよい。このように,処理ガスの構成として,NやHを採用すれば,取扱いが容易であるとともに,大気中に放出されても地球の温暖化の原因となり難い。さらに,NやHは安価であるため,処理コストが上昇することがない。
【0012】
また,請求項4に記載のように,処理ガスにArを含むようにすれば,エッチング条件を容易に制御できるため,溝の形状制御を容易に行うことができる。
【0013】
【発明の実施の形態】
以下に添付図面を参照しながら,本発明にかかるエッチング方法の好適な実施の形態について詳細に説明する。なお,本明細書及び図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。
【0014】
(1)エッチング装置の構成
まず,図1を参照しながら,本実施の形態のエッチング方法が適用されるエッチング装置100について説明する。
同図に示すエッチング装置100の処理容器102内には,処理室104が形成されており,この処理室104内には,上下動自在なサセプタを構成する下部電極106が配置されている。下部電極106の上部には,高圧直流電源108に接続された静電チャック110が設けられており,この静電チャック110の上面に被処理体,例えば半導体ウェハ(以下,「ウェハ」と称する。)Wが載置される。さらに,下部電極106上に載置されたウェハWの周囲には,絶縁性のフォーカスリング112が配置されている。また,下部電極106には,整合器118を介して高周波電源120が接続されている。
【0015】
また,下部電極106の載置面と対向する処理室104の天井部には,多数のガス吐出孔122aを備えた上部電極122が配置されている。上部電極122と処理容器102との間には絶縁体123が設けられている。また,上部電極122には,整合器119を介してプラズマ生成高周波電力を出力する高周波電源121が接続されている。また,ガス吐出孔122aには,ガス供給管124が接続され,さらにそのガス供給管124には,図示の例では第1〜第3分岐管126,128,130が接続されている。
【0016】
第1分岐管126には,開閉バルブ132と流量調整バルブ134を介して,Nを供給するガス供給源136が接続されている。また,第2分岐管128には,開閉バルブ138と流量調整バルブ140を介して,Hを供給するガス供給源142が接続されている。さらに,第3分岐管130には,開閉バルブ144と流量調整バルブ146を介して,Arを供給するガス供給源148が接続されている。処理ガスに添加される不活性ガスは,上記Arに限定されず,処理室104内に励起されるプラズマを調整することができるガスであればいかなる不活性ガス(例えばHe,Krなど)でも採用することができる。
【0017】
また,処理容器102の下方には,不図示の真空引き機構と連通する排気管150が接続されており,その真空引き機構の作動により,処理室104内を所定の減圧雰囲気に維持することができる。
【0018】
(2)ウェハの構成
次に,本実施の形態にかかるエッチング方法によりエッチング処理を施すウェハWの構成について説明する。
本実施の形態で使用するウェハWは,Cu膜層上にエッチング対象である層間絶縁膜が形成されている。この層間絶縁膜は,比誘電率が従来のSiOよりも非常に小さい,例えばポリオルガノシロキサン架橋ビスベンゾシクロブテン樹脂(以下,「BCB」と称する。)や,DowChemical社製のSiLK(商品名)や,以下の示す構造を有するFLARE(商品名)などの有機系低誘電率材料から構成されている。
【0019】
【化1】
Figure 0003844413
【0020】
また,層間絶縁膜上には,所定のパターンを有するエッチングマスクが形成されている。このエッチングマスクには,例えば,フォトレジスト膜層から成るマスクや,SiO膜層とフォトレジスト膜層とから成るマスクを採用することができる。
【0021】
次に,上述したエッチング装置100を用いて,本実施の形態にかかるエッチング方法によりウェハWにコンタクトホールを形成する場合のエッチング工程について説明する。
まず,予め所定温度に調整された下部電極106上にウェハWを載置し,該ウェハWの温度を処理に応じて20℃〜60℃程度に維持する。次いで,本実施の形態にかかる処理ガス,すなわちNとHとArの混合ガスを,ガス供給管124に介挿された流量調整バルブ134,140,146により上記各ガスの流量を調整しながら処理室104内に導入する。この際,処理室104内の圧力雰囲気が実質的に500mTorr以上,好ましくは,実質的に500mTorr〜800mTorrになるように,処理室104内を真空引きする。
【0022】
次いで,下部電極106に対して,例えば周波数が13.56MHzで,電力が600W〜1400Wの高周波電力を印加する。また,上部電極122に対して,例えば周波数が60MHzで,電力が600W〜1400Wの高周波電力を印加する。これにより,処理室102内に高密度プラズマが生成され,かかるプラズマによってウェハWの有機系低誘電率材料からなる層間絶縁層に,所定形状のコンタクトホールが形成される。
【0023】
本実施の形態は,以上のように構成されており,処理ガスは,少なくとも窒素原子含有気体と水素原子含有気体とを含み,真空処理室内の圧力は実質的に500mTorr以上であるので,エッチストッパを用いることなく,マイクロトレンチングを防ぐことができる。また,上記処理ガスを採用すれば,マスク選択比を高めることができる。
【0024】
さらに,処理ガスの構成として,NやHを採用したので,取扱いが容易であるとともに,大気中に放出されても地球の温暖化の原因となり難い。さらに,NやHは安価であるため,処理コストが上昇することがない。さらにまた,処理ガスにArを含むようにしたので,エッチング条件を容易に制御できるため,形状制御を容易に行うことができる。さらにまた,処理ガスにOを添加しなくても,所定のエッチング処理を行うことができるので,処理時にCu層膜が酸化するのを防止できる。このため,Cu層膜上に酸化防止膜を形成する必要がなく,被処理体の厚みを相対的に薄くすることができる。
【0025】
【実施例】
次に,図2〜図5を参照しながら本発明にかかるエッチング方法の実施例について説明する。なお,後述する実施例1〜実施例2は,上記実施の形態で説明したエッチング装置100を用いて,ウェハWの層間絶縁膜にコンタクトホールを形成したものであるので,上記エッチング装置100及びウェハWと略同一の機能及び構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。また,エッチングプロセス条件は,以下で特に示さない限り,上述した実施の形態と略同一に設定されている。
【0026】
(A)実施例1(処理室内の圧力雰囲気の変化)
まず,図2を参照しながら,処理室104内の圧力雰囲気を変化させた場合の実施例1(a)〜実施例1(c)について説明する。
本実施例1(a)〜実施例1(c)は,次の表に示す条件に基づいてエッチング処理を行い,上述したウェハWの層間絶縁膜にコンタクトホールを形成した。なお,表及び図面において,ウェハWの中央部をセンターと表記し,ウェハWの端部をエッジと表記し,ウェハWの中央部と端部の中間部をミドルと表記する。また,トレンチングとは,コンタクトホールの略中央部のエッチング深さに対するコンタクトホールの端部のエッチング深さの比を表しており,この値が大きいほどコンタクトホールの形状に悪影響を与えるマイクロトレンチングが形成されていることを表す。
【0027】
【表1】
Figure 0003844413
【0028】
その結果,実施例1(b),(c)では,同表及び図2(b),(c)に示すように,エッチングレートを低下させることなく良好な形状のコンタクトホールを形成することができた。これに対して,実施例1(a)では,同表及び図2(a)に示すように,コンタクトホールにマイクロトレンチングが生じた。
【0029】
(B)実施例2(処理室内の圧力雰囲気の変化)
次いで,図3を参照しながら,処理室104内の圧力雰囲気を変化させた場合の実施例2(a)〜実施例2(c)について説明する。本実施例は,実施例1と同様の条件で,形成されるコンタクトホールの幅を変えたものである。
本実施例2(a)〜実施例2(c)は,次の表に示す条件に基づいてエッチング処理を行い,上述したウェハWの層間絶縁膜にコンタクトホールを形成した。
【0030】
【表2】
Figure 0003844413
【0031】
その結果,実施例2(b),(c)では,同表及び図3(b),(c)に示すように,エッチングレートを低下させることなく良好な形状のコンタクトホールを形成することができた。これに対して,実施例2(a)では,同表及び図3(a)に示すように,コンタクトホールにマイクロトレンチングが生じた。本実施例の結果から,処理室内の圧力を所定の圧力に設定すれば,コンタクトホールの幅を変えた場合であっても,良好な形状のコンタクトホールを形成できることが分かる。
【0032】
(C)実施例3(NとHの流量変化)
次に,図4を参照しながら,後述するエッチング装置200を用いて,処理ガスを構成するNとHの流量を変化させた場合の実施例3について説明する。まず,図4を参照しながら,エッチング装置200の構成について説明する。同図に示すエッチング装置200の処理容器202内には,処理室204が形成されており,この処理室204内には,上下動自在なサセプタを構成する下部電極206が配置されている。下部電極206の上部には,高圧直流電源208に接続された静電チャック210が設けられており,この静電チャック210の上面にウェハWが載置される。さらに,下部電極206上に載置されたウェハWの周囲には,絶縁性のフォーカスリング212が配置されている。また,下部電極206には,整合器220を介してプラズマ生成用高周波電力を出力する高周波電源220が接続されている。
【0033】
また,下部電極206の載置面と対抗する処理室204の天井部には,多数のガス吐出孔222aを備えた上部電極222が配置されており,図示の例では,上部電極222は,処理容器202の一部を成している。また,ガス吐出孔222aには,上記エッチング装置100と同様に,ガス供給管224が接続され,さらにそのガス供給管224には,図示の例では第1,第2分岐管224,228が接続されている。
【0034】
第1分岐管226には,開閉バルブ232と流量調整バルブ234を介して,N2を供給するガス供給源236が接続されている。また,第2分岐管228には,開閉バルブ238と流量調整バルブ240を介して,H2を供給するガス供給源242が接続されている。なお,上記エッチング装置100と同様に,Ar等の不活性ガスを供給するように,第3分岐管を備えるようにしてもよい。
【0035】
また,処理容器202の下方には,上記エッチング装置100と同様に,排気管150が接続されている。さらに,処理室204の外部には,処理容器202の外部側壁を囲うように磁石238が配置されており,この磁石238によって上部電極222と下部電極206との間のプラズマ領域に回転磁界が形成される。
【0036】
そして,本実施例3(a)〜実施例3(d)は,次の表に示す条件に基づいてエッチング処理を行い,上述したウェハWの層間絶縁膜にコンタクトホールを形成した。
【0037】
【表3】
Figure 0003844413
【0038】
その結果,実施例3(a)〜実施例3(d)では,同表及び図5に示すように,いずれの流量の場合でも良好な形状のコンタクトホールを形成することができた。本実施例の結果から,処理室内の圧力雰囲気を所定の圧力に設定すれば,NとHの流量を変化させた場合であっても,良好な形状のコンタクトホールを形成できることが分かる。
【0039】
以上,添付図面を参照しながら本発明にかかるエッチング方法の好適な実施形態及び実施例について説明したが,本発明はかかる例に限定されない。当業者であれば,特許請求の範囲に記載された技術的思想の範疇内において各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
【0040】
例えば,上記実施の形態において,NとHの混合ガス,あるいは,NとHとArの混合ガスを処理ガスとして採用した構成を例に挙げて説明したが,本発明はかかる構成に限定されるものではない。例えば,NとHとArの混合ガスにさらにOや不活性ガスなどの各種ガスを添加しても,本発明を実施することができる。すなわち,処理ガス中に少なくとも窒素原子含有気体と水素原子含有気体が含まれていれば,本発明を実施することが可能である。
【0041】
また,上記実施の形態および実施例において,平行平板型エッチング装置と,処理室内に磁界を形成するエッチング装置を例に挙げて説明したが,本発明はかかる構成に限定されるものではなく,静電シールドを設けた誘導結合型のエッチング装置や,マイクロ波型エッチング装置などの各種プラズマエッチング装置にも,本発明を適用することができる。
【0042】
さらに,上記実施の形態において,ウェハに形成された有機系低誘電率材料から成る層間絶縁膜にコンタクトホールを形成する構成を例に挙げて説明したが,本発明はかかる構成に限定されるものではなく,被処理体に形成された層間絶縁膜にいかなるエッチング処理を施す場合にも適用することができる。
【0043】
【発明の効果】
本発明によれば,エッチストッパを用いることなく,マイクロトレンチングを防ぐことができる。また,マスク選択比を高めることができる。
【0044】
また特に請求項3に記載の発明によれば,処理ガスの取り扱いが容易であるとともに,処理ガスが大気中に放出されても温暖化の原因となり難い。さらに,処理コストが上昇することがない。
【0045】
さらにまた請求項4に記載の発明によれば,エッチング条件を容易に制御できるため,溝の形状制御を容易に行うことができる。
【図面の簡単な説明】
【図1】本発明を適用可能なエッチング装置を示す概略的な断面図である。
【図2】本発明の実施例1を説明するための概略的な説明図である。
【図3】本発明の実施例2を説明するための概略的な説明図である。
【図4】本発明を適用可能な他のエッチング装置を示す概略的な断面図である。
【図5】本発明の実施例3を説明するための概略的な説明図である。
【符号の説明】
100 エッチング装置
102 処理容器
104 処理室
106 下部電極
108 高圧電流電源
110 静電チャック
112 フォーカスリング
118 整合器
119 整合器
120 高周波電源
121 高周波電源
122 上部電極
122a ガス供給孔
123 絶縁体
124 ガス供給管
126,128,130 分岐管(第1分岐管,第2分岐管,第3分岐管)
132,138,144 開閉バルブ
134,140,146 流量調整バルブ
136,142,148 ガス供給源
150 排気管
W ウェハ

Claims (5)

  1. 気密な処理室内に処理ガスを導入し,前記処理室内に配置された被処理体に形成された有機層膜に対して,SiO 膜層とフォトレジスト膜層とから成るマスクでエッチングするエッチング方法において:
    前記処理ガスは少なくとも窒素原子含有気体と水素原子含有気体とを含み,
    前記真空処理室内の圧力は500mTorr以上であり,
    前記有機層膜に対するエッチングを,前記有機層膜の途中で停止させ,エッチング処理を終了することを特徴とする,エッチング方法。
  2. 前記真空処理室内の圧力は500mTorr〜800mTorrであることを特徴とする,請求項1に記載のエッチング方法。
  3. 前記窒素原子含有気体はNであり,前記水素原子含有気体はHであることを特徴とする,請求項1または2に記載のエッチング方法
  4. 前記処理ガスは,Arをさらに含むことを特徴とする,請求項1,2または3のいずれかに記載のエッチング方法。
  5. 前記有機層膜に対するエッチングを,前記有機層膜の途中で停止させることで,底面が平坦な溝を形成することを特徴とする,請求項1,2,3または4のいずれかに記載のエッチング方法。
JP23519199A 1999-08-23 1999-08-23 エッチング方法 Expired - Fee Related JP3844413B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP23519199A JP3844413B2 (ja) 1999-08-23 1999-08-23 エッチング方法
TW089116981A TW469488B (en) 1999-08-23 2000-08-22 Etching method
PCT/JP2000/005623 WO2001015213A1 (fr) 1999-08-23 2000-08-23 Procede d'attaque chimique
EP00954910A EP1225621B1 (en) 1999-08-23 2000-08-23 Method of etching
KR1020027002261A KR100709817B1 (ko) 1999-08-23 2000-08-23 에칭 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23519199A JP3844413B2 (ja) 1999-08-23 1999-08-23 エッチング方法

Publications (2)

Publication Number Publication Date
JP2001060582A JP2001060582A (ja) 2001-03-06
JP3844413B2 true JP3844413B2 (ja) 2006-11-15

Family

ID=16982437

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23519199A Expired - Fee Related JP3844413B2 (ja) 1999-08-23 1999-08-23 エッチング方法

Country Status (5)

Country Link
EP (1) EP1225621B1 (ja)
JP (1) JP3844413B2 (ja)
KR (1) KR100709817B1 (ja)
TW (1) TW469488B (ja)
WO (1) WO2001015213A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3739325B2 (ja) * 2001-09-20 2006-01-25 株式会社日立製作所 有機絶縁膜のエッチング方法
JP2004214336A (ja) 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2004342873A (ja) 2003-05-16 2004-12-02 Tokyo Electron Ltd 半導体装置およびその製造方法
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
CN101866846B (zh) * 2009-04-14 2012-04-18 中芯国际集成电路制造(北京)有限公司 刻蚀沟槽的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529860A (en) 1982-08-02 1985-07-16 Motorola, Inc. Plasma etching of organic materials
JPS60170238A (ja) * 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
JPH10150101A (ja) * 1996-11-15 1998-06-02 Nippon Steel Corp 半導体装置及びその製造方法
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
JPH11150101A (ja) * 1997-11-18 1999-06-02 Nec Corp 半導体装置の製造方法
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
JP2000036484A (ja) * 1998-05-11 2000-02-02 Tokyo Electron Ltd プラズマ処理方法
FR2789804B1 (fr) * 1999-02-17 2002-08-23 France Telecom Procede de gravure anisotrope par plasma gazeux d'un materiau polymere dielectrique organique et application a la microelectronique
JP4207303B2 (ja) * 1999-04-07 2009-01-14 ソニー株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2001015213A1 (fr) 2001-03-01
KR100709817B1 (ko) 2007-04-23
EP1225621A4 (en) 2007-03-28
EP1225621B1 (en) 2011-07-13
TW469488B (en) 2001-12-21
JP2001060582A (ja) 2001-03-06
KR20020027567A (ko) 2002-04-13
EP1225621A1 (en) 2002-07-24

Similar Documents

Publication Publication Date Title
JP2003234331A (ja) プラズマエッチング方法およびプラズマエッチング装置
JP5116983B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
US9362166B2 (en) Method of forming copper wiring
JP3121524B2 (ja) エッチング装置
JP2007250874A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2008198659A (ja) プラズマエッチング方法
JP2004087875A (ja) 絶縁膜のエッチング方法
JP3808902B2 (ja) プラズマエッチング方法
US20010001741A1 (en) Process for the production of semiconductor device
EP2863416B1 (en) Method for etching copper layer
TWI499001B (zh) Substrate processing methods and memory media
JP3844413B2 (ja) エッチング方法
JP4278915B2 (ja) エッチング方法
JP2000036484A (ja) プラズマ処理方法
JP4381526B2 (ja) プラズマエッチング方法
JP4388645B2 (ja) プラズマエッチング方法
JP4216922B2 (ja) 酸化膜のエッチング方法
JP3987637B2 (ja) エッチング方法
KR20150069537A (ko) 반도체 장치의 제조 방법
JP4141021B2 (ja) プラズマ成膜方法
JP4615290B2 (ja) プラズマエッチング方法
US20210118729A1 (en) Method of depositing layers
JP3164789B2 (ja) 高融点金属膜のドライエッチング方法
JP4550981B2 (ja) エッチング方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040322

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060815

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090825

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120825

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150825

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees