JP3833176B2 - フォトレジスト除去剤組成物 - Google Patents

フォトレジスト除去剤組成物 Download PDF

Info

Publication number
JP3833176B2
JP3833176B2 JP2002511029A JP2002511029A JP3833176B2 JP 3833176 B2 JP3833176 B2 JP 3833176B2 JP 2002511029 A JP2002511029 A JP 2002511029A JP 2002511029 A JP2002511029 A JP 2002511029A JP 3833176 B2 JP3833176 B2 JP 3833176B2
Authority
JP
Japan
Prior art keywords
photoresist
remover composition
weight
photoresist remover
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002511029A
Other languages
English (en)
Other versions
JP2004526981A (ja
Inventor
ユーン、サク−イイ
パク、ヤン−ウン
オー、シャン−イイ
リー、スン−ダイ
ヨー、チョン−スン
Original Assignee
ドウジン セミケム カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ドウジン セミケム カンパニー リミテッド filed Critical ドウジン セミケム カンパニー リミテッド
Publication of JP2004526981A publication Critical patent/JP2004526981A/ja
Application granted granted Critical
Publication of JP3833176B2 publication Critical patent/JP3833176B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、大規模集積回路(LSI)、超大規模集積回路(VLSI)などの半導体装置の製造過程において、フォトレジストを除去するために用いるフォトレジスト除去剤組成物に関する。
【0002】
【従来の技術】
一般に、半導体装置の製造過程において半導体装置の導体層上にフォトレジストパターンが形成される。そして、導体層上のパターンによって覆われていない部分は、パターンをマスクとして用いて繰り返されるリソグラフィー工程によりエッチングされて、導体層パターンを形成する。マスクとして利用されたフォトレジストパターンは、導体層パターン形成後に行われる剥離工程でフォトレジスト除去剤を用いて導体層から除去する必要がある。しかし、最近の超大規模集積回路製造工程は、導体層パターンを形成するために乾式エッチング工程を採用しているため、続いて行われる剥離工程においてフォトレジストを除去するのが難しくなっている。
【0003】
乾式エッチング工程は、酸性薬液を用いる湿式とは反対に、プラズマエッチングガスと導体層などの物質膜の間の気相−固相反応を利用して実行される。乾式エッチング処理は制御が容易でシャープなパターンを作るため、現在のエッチング処理の主流となっている。しかし、導体層の乾式エッチング過程では、プラズマエッチングガスのイオンとラジカルが、フォトレジスト表面でフォトレジスト層と化学反応を行って、これによりフォトレジストが急速に硬化する。したがって、フォトレジスト除去が困難となっている。特に、乾式エッチング過程において、アルミニウム、アルミニウム合金及び窒化チタンのような導体層から生成された、硬化した側壁部フォトレジストポリマーは、剥離工程において、各種除去剤を使用しても除去し難い。
【0004】
従来、剥離工程の初期のフォトレジスト除去剤として、有機アミン化合物と有機溶剤を混合して得られるフォトレジスト除去剤組成物が提案されており、特に必須の有機アミン化合物としてモノエタノールアミン(MEA)を含むフォトレジスト除去剤組成物は最も広く使用されてきた。
【0005】
例えば、a)モノエタノールアミン(MEA)や2−(2−アミノエトキシ)エタノール(AEE)などの有機アミン化合物とb)N,N−ジメチルアセトアミド(DMAc)、N,N−ジメチルホルムアミド(DMF)、N−メチルピロリドン(NMP)、ジメチルスルホキシド(DMSO)、カルビトールアセテートやメトキシアセトキシプロパン(米国特許第4,617,251号明細書に開示)などの極性溶剤からなる2成分系フォトレジスト除去剤組成物(米国特許4,617,251号);a)モノエタノールアミン(MEA)、モノプロパノールアミンやメチルアミルエタノールなどの有機アミン化合物とb)N−メチルアセトアミド(MAc)、N,N−ジメチルアセトアミド(DMAc)、N,N−ジメチルホルムアミド(DMF)、N,N−ジエチルアセトアミド(DEAc)、N,N−ジプロピルアセトアミド(DPAc)、N,N−ジメチルプロピオンアミド、N,N−ジエチルブチルアミドやN−メチル−N−エチルプロピオンアミドなどのアミド溶剤からなる2成分系フォトレジスト除去剤組成物(米国特許第4,770,713号明細書); a)モノエタノールアミン(MEA)などの有機アミン化合物とb)1,3−ジメチル−2−イミダゾリジノン(DMI)、1,3−ジメチル−テトラヒドロピリミジノンなどの非プロトン性極性溶剤類からなる2成分系フォトレジスト除去剤組成物(独国特許出願公開第3,828,513号明細書); a)モノエタノールアミン(MEA)、ジエタノールアミン(DEA)、トリエタノールアミン(TEA)などのアルカノールアミンやエチレンジアミンのエチレンオキシドを導入したアルキレンポリアミン、b)スルホラン又はスルホン化合物とc)ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテルなどのグリコールモノアルキルを所定混合比としたフォトレジスト除去剤組成物(特開昭62−49355号公報); a)モノエタノールアミン(MEA)やジエタノールアミン(DEA)などの水溶性アミンとb)1,3−ジメチル−2−イミダゾリジノンからなるフォトレジスト除去剤組成物(特開昭63−208043号公報); a)モノエタノールアミン(MEA)、エチレンジアミンやベンジルアミンなどのアミン類、b)DMAc、NMP、DMSOなどの極性溶剤とc)界面活性剤からなるポジ型レジスト除去剤組成物(特開昭63−231343号公報); a)モノエタノールアミン(MEA)などの含窒素有機ヒドロキシ化合物、b)ジエチレングリコールモノエチルエーテル、ジエチレングリコールジアルキルエーテル、γ−ブチルにラクトン及び1,3−ジメチル−2−イミダゾリノンから選択された一つ以上の溶剤とc)DMSOを特定比率で混合したポジ型レジスト除去剤組成物(特開昭64−42653号公報); a)モノエタノールアミン(MEA)などの有機アミン化合物、b)ジエチレングリコールモノアルキルエーテル、DMAc、NMP、DMSOなどの非プロトン性極性溶剤類とc)リン酸エステル系界面活性剤からなるポジ型レジスト除去剤組成物(特開平4−124668号公報); a)1,3−ジメチル−2−イミダゾリノン(DMI)、b)ジメチルスルホキシド(DMSO)と、c)モノエタノールアミン(MEA)などの有機アミン化合物からなるフォトレジスト除去剤組成物(特開平4−350660号公報); a)モノエタノールアミン(MEA)、b)DMSOとc)カテコールからなるフォトレジスト除去剤組成物(特開平5−281753号公報)などが提案されている。このようなフォトレジスト除去剤組成物は安全性、作業効率、フォトレジスト除去性能で比較的に優れた特性を示している。
【0006】
しかし、最近の半導体素子の製造工程では、シリコンウエハーをはじめとした各種基板を高温で処理するハードベーク工程を行う傾向があり、処理条件が高温化している。前記例示のフォトレジスト除去剤では、高温でハードベークされたフォトレジストに対しては除去能力が十分ではない。そこで、高温でハードベークされた前記フォトレジストを除去するための組成物として、水を含有する水系フォトレジスト除去剤が提案された。例えば、a)ヒドロキシルアミン類、b)アルカノールアミン類及びc)水からなるフォトレジスト除去剤組成物(特開平4−289866号公報); a)ヒドロキシルアミン類、b)アルカノールアミン類、c)水及びd)防食剤からなるフォトレジスト除去剤組成物(特開平6−266119号公報); a)GBL、DMF、DMAcやNMPなどの極性溶剤類、b)2−メチルアミノエタノールなどのアミノアルコール類及びc)水を含有するフォトレジスト除去剤組成物(特開平7−69618号公報); a)モノエタノールアミン(MEA)などのアミノアルコール類、b)水及びc)ブチルジグリコールを含有するフォトレジスト除去剤組成物(特開平8−123043号公報); a)アルカノールアミン類やアルコキシアルキルアミン類、b)グリコールモノアルキルエーテル、c)糖アルコール類、d)第4級アンモニウム水酸化物及びe)水を含有するフォトレジスト除去剤組成物(特開平8−262746号公報); a)モノエタノールアミン(MEA)及びAEEのうちの一つ以上のアルカノールアミン、b)ヒドロキシルアミン、c)ジエチレングリコールモノアルキルエーテル、d)糖類(ソルビトール)及びe)水を含有するフォトレジスト除去剤組成物(特開平9−152721号公報); a)ヒドロキシルアミン類、b)水、c)酸解離整数(pKa)が7.5乃至13であるアミン類、d)水溶性有機溶媒及びe)防食剤からなるフォトレジスト除去剤組成物(特開平9−96911号公報)などが提案されている。しかし、前記フォトレジスト除去剤組成物も、超大規模集積回路(VLSI)の製造に用いられる乾式エッチングまたは灰化工程において、プラズマガスに曝されて変質した側壁レジストポリマーを十分に除去できないということが分かった。そこで、ドライエッチング工程おいても使用することができるフォトレジスト除去剤の開発が要請されている。
【0007】
上述のように、従来のフォトレジストでは、乾式エッチング工程を経たフォトレジストを除去するのが困難である。フォトレジスト層の表面硬化の原因は、イオンビームの高エネルギーと高照射量による反応熱である。同時に、加えて、フォトレジスト残渣が生じるのは、フォトレジストのポッピング現象のためである。通常、ウエハーは灰化処理で200℃以上に加熱される。この時、フォトレジスト内部に残存する溶剤は排出されなければならないが、灰化工程後のフォトレジスト表面に存在する硬化層のため、これが不可能である。
【0008】
このように、灰化処理の進行によって、フォトレジスト層の内部圧力が上昇し、フォトレジスト層表面が破裂するのは、内部にある溶剤にためである。これをポッピング現象という。フォトレジスト表面に形成された硬化層は散在しており、残留物を硬化層から除去することは困難である。更に、フォトレジストは残留物と粒状体に変化して汚染源となり、VLSIチップ製造の歩留まりを低下させる。特に、フォトレジストを効果的に除去するために剥離工程前に灰化工程を行う場合には、フォトレジストの変質はさらに進むので、剥離工程中の半導体装置の不良率が増加する。
【0009】
上述した硬化フォトレジスト層を効果的に除去するための各種のエッチング工程が提案されており、その中には、2段階で灰化工程を行う方法が記載されている日本応用物理協会(Japanese Applied Physics Association の予稿集、藤村ら、第1乃至13頁,第574頁,1389)。この2段階灰化処理によると、灰化の第1段階は普通の方法で実行され、その後、第2段階の灰化処理が実行される。しかし、このような工程は複雑であり、大規模な装置をも要し、工程効率を低下させる。
【0010】
結局、前記問題は水系フォトレジスト除去剤組成物を用いた剥離工程で解決できる。最近では、硬化フォトレジストに対して比較的良好な除去特性を有するため、ヒドロキシルアミン、アルカノールアミン、防食剤及び水からなるフォトレジスト除去剤組成物が提案され、広く使われている。しかし、このフォトレジスト除去剤組成物は64メガDRAM級以上のDRAM半導体生産ラインに採用された新金属層に対する腐蝕により、アンダーカット現象を生じる。このため、前記欠点を克服できる、新たなフォトレジスト除去剤の開発が求められている。
【0011】
【発明が解決しようとする課題】
本発明は、乾式エッチングや灰化工程によって変質した側壁フォトレジストポリマーを迅速かつ容易に除去できるフォトレジスト除去剤組成物を提供することを目的とする。特に、窒化チタン層からエッチされる金属性副産物から形成される側壁フォトレジストポリマーを除去できるフォトレジスト除去剤組成物である。本発明のフォトレジスト除去剤組成物は、更に、下地金属膜材料の腐食を最小限にできるものである。
【0012】
【課題を解決するための手段】
前記課題を達成するために、本発明では、(a)水溶性ヒドロキシルアミン2〜20重量%、(b)ブタノンオキシム、プロパノンオキシム及びそれらの混合物からなる群より選択されるオキシム化合物5〜15重量%、(c)水10〜45重量%、(d)水酸基を2個または3個含有する有機フェノール系化合物4〜15重量%及び(e)アルキルアミド30〜55重量%を含有することを特徴とするフォトレジスト除去剤組成物、を提供する。
【0013】
本発明のフォトレジスト除去剤組成物において、前記ヒドロキシルアミンの含有量は2〜20重量%が好ましい(市販されているヒドロキシルアミンの含有量は、50%水溶液の状態である)。つまり、水溶性ヒドロキシルアミンの含有量が2重量%未満の場合には、乾式エッチング又は灰化工程によって変質した側壁フォトレジストポリマーを全部除去するのは難しい。更に、20重量%を超える場合にはアルミニウムやアルミニウム合金のような下地金属膜材料に対する腐食が大きくなる。
【0014】
本発明のフォトレジスト除去剤組成物において、オキシム化合物はブタノンオキシム、プロパノンオキシムからなる群より選択される。オキシム化合物の含有量は5〜15重量%が好ましい。オキシム化合物の含有量が5重量%未満の場合には、剥離した側壁フォトレジストポリマーに対する溶解度が低下する。オキシム化合物の含有量が15重量%を超える場合には、オキシム化合物の高沸点特性により低温でのフォトレジスト溶解度が低下する。
【0015】
本発明のフォトレジスト除去剤組成物において、水はイオン交換樹脂でろ過した純水が好ましい。比抵抗が18MΩ以上の脱イオン水がさらに好ましい。
【0016】
前記水の含有量は10〜45重量%が好ましい。本発明のフォトレジスト除去剤組成物において、水の含有量が10重量%未満の場合には、水がヒドロキシルアミンを活性化することができないためフォトレジスト除去能力が低下する。水の含有量が45重量%を超える婆には、相対的に他成分含有量が減ってフォトレジストを除去する能力が低下するだけでなく、下地金属膜材料に対する腐食性が高くなる。研究の結果、水の最適含有量は10〜45重量%であると確認された。
【0017】
本発明のフォトレジスト除去剤組成物における、水酸基を2個または3個含有するフェノール系化合物は下記化学式1:
【化3】
(ここで、mは2乃至3の整数を示す。)で表される。
【0018】
本発明のフォトレジスト除去剤組成物において、前記水酸基を2個または3個含有するフェノール系化合物は、ヒドロキシルアミンと水の水素イオンの反応で生成する水酸基イオンを導体層間の接触面に浸透させる機能を持つ。また、水酸基を2個または3個含有するフェノール系化合物は、フォトレジスト除去剤組成物から生成された水酸基による腐蝕から、下地金属膜材料を守る腐蝕防止機能を持つ。
【0019】
水酸基を2個または3個含有するフェノール系化合物の含有量は4〜15重量%であるのが好ましい。前記フェノール系化合物の含有量が4重量%未満の場合には、下地金属膜材料の腐蝕性が高くなる。前記フェノール系化合物の含有量が15重量%を超える場合には、フォトレジスト除去剤組成物の粘度が上昇して使用時に不便である。
【0020】
前記フォトレジスト除去剤組成物における、アルキルアミドは、下記化学式2:
【化4】
(ここで、R1 、R2 及びR3 は、各々、水素であるか、または少なくとも一つ以上がアルキル基であり、前記アルキル基は炭素数が1乃至2である直鎖状アルキル基である。)で表わされる。
【0021】
前記アルキルアミドの含有量は30〜55重量%が好ましい。前記アルキルアミドの含有量が30重量%未満の場合には、フォトレジストの溶解度が低下する。アルキルアミドの含有量が55重量%を超える場合には、他成分の含有量が減って窒化チタン層に起因するフォトレジストの除去が不完全になる。
【0022】
研究の結果、オキシム化合物とアルキルアミドを水溶性ヒドロキシルアミンと所定比率で混合すれば側壁フォトレジストポリマーが除去できることを発見した。
【0023】
【発明の実施の形態】
以下に、詳細に説明するが、これらは本発明の好ましい実施例を示すものであり、下記の実施例に制限されるものではないのは当然のことである。
【0024】
一方、下記の実施例において、別途、言及のない限り、%及び混合比は重量基準である。本発明の実施例及び比較例において、性能評価は次の方法によって実施した。
【0025】
(1)フォトレジスト除去効率の試験
試片Aの作製
アルミニウム合金及び窒化チタンの膜が底部から各々順次に800Å及び150Åで蒸着されている8インチシリコンウエハーの表面に、TEOS、FOX 及びTEOSをCVD装置を用いて順次蒸着した。汎用的に用いられるポジ型フォトレジスト組成物(東進セミケム社製,DPR−i900)の膜厚はスピンコーティングで1.2μmである。次いで、前記フォトレジスト膜をホットプレートで、110℃、90秒間プリベークした。所定バイアホールパターンのマスクを前記フォトレジスト膜上に置いて、露光した。その後、バイアホールパターンのマスクを2.38%テトラメチルアンモニウムヒドロキシド現像液により21℃で60秒間現像した後、前記バイアホールパターンが形成された試片を120℃で100秒間ハードベークした。前記試片に形成されたフォトレジストパターンを乾式エッチング装置(日立社製,M318)でマスクとして用いた。
【0026】
フォトレジストパターンによって覆われず露出していた窒化チタン層を、SF6 とCl2 の混合ガスを乾式エッチングガスとして使用し、35秒間エッチングした。次いで、大部分のフォトレジストをO2 プラズマを用いた灰化装置で除去して、試片を作製した。
【0027】
フォトレジスト除去試験
前記試片Aを、温度65℃のフォトレジスト除去剤組成物に5分間、10分間、20分間、各々、浸漬した。続けて、前記試片Aをフォトレジスト除去剤組成物から取り出した後、脱イオン水で水洗し、窒素ガスで乾燥した。フォトレジスト残留物が、バイアホールパターン周辺及び線パターン表面に付着しているか否かを走査電子顕微鏡(SEM)で検査し、フォトレジスト除去能率を評価した。結果を表2に示す。
【0028】
○:バイアホールパターン周辺及び線パターン表面からレジスト残留物が完全に除去された場合
△:バイアホールパターン周辺及び線パターン表面からレジスト残留物が80%以上除去されたが、微量残ってた場合
×:バイアホールパターン周辺及び線パターン表面にレジスト残留物の大部分が残っていた場合。
【0029】
(2)金属パターンの腐食試験
試片Bの作製
試片Bは前記試片Aと同様の方法に作製した。
【0030】
金属パターンの腐食性試験
前記試片Bを温度65℃のフォトレジスト除去剤組成物に5分間、10分間、20分間、各々、浸漬した。続けて、前記試片Bをフォトレジスト除去剤組成物から取り出した後、脱イオン水で水洗し、窒素ガスで乾燥した。下地金属膜材料において、アンダーカット現象が発生しているか否を走査電子顕微鏡(SEM)で検査し、また腐蝕性を評価した。結果を表3に示す。
【0031】
○:下地金属パターンがアンダーカットされなかった場合
△:下地金属パターンが部分的にアンダーカットされた場合
×:下地金属パターンが大部分アンダーカットされた場合。
【0032】
実施例1〜5及び比較例1〜3
各成分(a)〜(e)を表1に示した比率で混合し、実施例1〜5及び各比較例のフォトレジスト除去剤組成物を調製した。その後、(1)フォトレジスト除去剤の試験、(2)金属層パターンの腐食試験を、これらフォトレジスト除去剤組成物に対して実施した。その試験結果をそれぞれ表2及び表3に示す。
【0033】
【表1】
HDA:ヒドロキシルアミン
B−Oxime:ブタノンオキシム
P−Oxime:プロパノンオキシム
PG:ピロガロール
PC:ピロカテコール
GA:没食子酸
DMAc:N,N−ジメチルアセトアミド
N−MAc:N−メチルアセトアミド
MEA:モノエタノールアミン
AEE:2−(2−アミノエトキシ)エタノール
【0034】
【表2】
【0035】
図1〜図3は、走査電子顕微鏡(SEM,日立社製,No.S−4100)写真であり、実施例3と比較例1のフォトレジスト除去剤組成物に対するフォトレジスト除去能率を比較している。
【0036】
図1〜図3は、フォトレジスト除去剤組成物を65℃の条件下で行った、試片Aに対する試験結果である。
【0037】
図1は、アルミニウム合金層(1)、窒化チタン層(2)、テトラエチルオルト珪酸塩(TEOS)(3)、フォックス(FOX:Flowable Oxide)(4)、TEOS(5)が順次に積層され、さらに前記積層層にフォトレジスト(6)を塗布し後に、乾式エッチング工程及び灰化工程が行われた導体層パターンの断面写真である。側壁フォトレジストポリマーが、バイアホールの断面全体に存在することが、図1 で示されている。
【0038】
図2は、比較例1のフォトレジスト除去組成物のフォトレジスト除去性能を65℃で試験した結果を示す走査電子顕微鏡(SEM)写真である。
【0039】
図3は、実施例3のフォトレジスト除去組成物のフォトレジスト除去性能を65℃で試験した結果を示す走査電子顕微鏡(SEM)写真である。
【0040】
【表3】
【0041】
【発明の効果】
前記のように、本発明のフォトレジスト除去剤組成物は、乾式エッチング工程、アッシング工程及びイオン注入工程によって硬化したフォトレジスト層及び前記工程内で下地金属膜材料からエッチングされて出て来た金属性副産物によって変質したフォトレジスト層を短時間内に容易に除去することができる。また、フォトレジスト剥離工程においては、前記フォトレジスト除去剤組成物は下地金属配線、特に銅配線を最少化でき、後続するリンス工程においては、前記の層は、イソプロピルアルコールやジメチルスルホキシドのような有機溶剤を用いることなく、水だけでリンス可能である。
【図面の簡単な説明】
【図1】 アルミニウム合金金属層(1)、窒化チタン層(2)、テトラエチルオルト珪酸塩(TEOS)(3)、フォックス(FOX:Flowable Oxide)(4)、TEOS(5)が順次に積層されている基板上に、フォトレジストを塗布してバイアホールパターンを形成した後、乾式エッチング工程及び灰化行った状態のパターン断面構造を撮影した走査電子顕微鏡(SEM)写真である。
【図2】 図1のパターンに灰化工程を施した後、比較例1のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験を行い、フォトレジストの一部を除去した結果を示す走査電子顕微鏡(SEM)写真である。
【図3】 図1のパターンに灰化工程を施した後、実施例3のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験を行い、フォトレジストの一部を除去した結果を示す走査電子顕微鏡(SEM)写真である。

Claims (3)

  1. (a)水溶性ヒドロキシルアミン2〜20重量%、(b)ブタノンオキシム、プロパノンオキシム及びそれらの混合物からなる群より選択されるオキシム化合物5〜15重量%、(c)水10〜45重量%、(d)水酸基を2個または3個含有する有機フェノール系化合物4〜15重量%及び(e)アルキルアミド30〜55重量%を含有することを特徴とするフォトレジスト除去剤組成物。
  2. 前記アルキルアミド(e)が、下記化学式2:
    (ここで、R、R及びRは、各々、水素であるか、または少なくとも一つ以上がアルキル基であり、前記アルキル基は炭素数が1乃至2である直鎖状アルキル基である。)で表わされることを特徴とする請求項1記載のフォトレジスト除去剤組成物。
  3. 前記有機フェノール系化合物(d)が、下記化学式1:
    (ここで、mは2乃至3の整数を示す。)によって表示されることを特徴とする請求項1記載のフォトレジスト除去剤組成物。
JP2002511029A 2000-06-12 2001-06-07 フォトレジスト除去剤組成物 Expired - Fee Related JP3833176B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020000032225A KR100363271B1 (ko) 2000-06-12 2000-06-12 포토레지스트 리무버 조성물
PCT/KR2001/000966 WO2001096964A1 (en) 2000-06-12 2001-06-07 Photoresist remover composition

Publications (2)

Publication Number Publication Date
JP2004526981A JP2004526981A (ja) 2004-09-02
JP3833176B2 true JP3833176B2 (ja) 2006-10-11

Family

ID=19671757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002511029A Expired - Fee Related JP3833176B2 (ja) 2000-06-12 2001-06-07 フォトレジスト除去剤組成物

Country Status (7)

Country Link
US (1) US6908892B2 (ja)
JP (1) JP3833176B2 (ja)
KR (1) KR100363271B1 (ja)
CN (1) CN1203378C (ja)
AU (1) AU2001262793A1 (ja)
TW (1) TWI283338B (ja)
WO (1) WO2001096964A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4867092B2 (ja) * 2001-07-04 2012-02-01 三菱瓦斯化学株式会社 レジスト剥離剤組成物
KR100434491B1 (ko) * 2001-08-17 2004-06-05 삼성전자주식회사 레지스트 또는 식각 부산물 제거용 조성물 및 이를 이용한레지스트 제거 방법
KR100862988B1 (ko) * 2002-09-30 2008-10-13 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
KR100751919B1 (ko) * 2005-11-18 2007-08-31 램테크놀러지 주식회사 포토레지스트 제거용 조성물 및 이를 이용한 패턴 형성방법
KR101082515B1 (ko) * 2008-04-07 2011-11-10 주식회사 엘지화학 포토레지스트 스트리퍼 조성물 및 이를 이용한 포토레지스트 박리방법
WO2010090146A1 (ja) * 2009-02-03 2010-08-12 出光興産株式会社 レジスト剥離剤組成物及びそれを用いたレジスト剥離方法
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US8999184B2 (en) * 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
JP7092807B2 (ja) * 2017-07-06 2022-06-28 ダウ グローバル テクノロジーズ エルエルシー 電子部品の洗浄および剥離のためのアミドの組み合わせ
CN112638863B (zh) * 2018-08-30 2023-11-07 亨斯迈石油化学有限责任公司 多胺的季铵碱
CN113003535A (zh) * 2021-02-20 2021-06-22 中国科学院物理研究所 超导量子比特空气桥的除胶方法及其芯片

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617251A (en) 1985-04-11 1986-10-14 Olin Hunt Specialty Products, Inc. Stripping composition and method of using the same
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
JP2591626B2 (ja) * 1987-09-16 1997-03-19 東京応化工業株式会社 レジスト用剥離液
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5988186A (en) 1991-01-25 1999-11-23 Ashland, Inc. Aqueous stripping and cleaning compositions
US5753601A (en) * 1991-01-25 1998-05-19 Ashland Inc Organic stripping composition
EP1721978B1 (fr) * 1991-02-08 2011-04-06 Aventis Pharma S.A. Séquences nucléotidiques codant pour des régions variables de chaines alpha des récepteurs des lymphocytes humains ainsi que leurs applications
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
WO1997005228A1 (fr) * 1995-07-27 1997-02-13 Mitsubishi Chemical Corporation Procede de traitement de la surface d'un substrat et composition de traitement de surface prevue a cet effet
JP2911792B2 (ja) 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
JP3929518B2 (ja) 1995-11-30 2007-06-13 東京応化工業株式会社 レジスト用剥離液組成物
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
JPH1152590A (ja) * 1997-08-06 1999-02-26 Nagase Denshi Kagaku Kk レジスト剥離剤組成物及びその使用方法
JP3932150B2 (ja) * 1997-10-03 2007-06-20 ナガセケムテックス株式会社 レジスト剥離剤組成物及びその使用方法
JP2001520267A (ja) * 1997-10-14 2001-10-30 アドバンスド・ケミカル・システムズ・インターナショナル・インコーポレーテッド 半導体基板からの残留物をストリッピングするためのホウ酸アンモニウム含有組成物
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
DK1105778T3 (da) * 1998-05-18 2009-10-19 Mallinckrodt Baker Inc Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater
KR100288769B1 (ko) * 1998-07-10 2001-09-17 윤종용 포토레지스트용스트리퍼조성물
GB2342727A (en) 1998-10-12 2000-04-19 Ekc Technology Ltd Composition to remove resists and tp inhibit titanium corrosion

Also Published As

Publication number Publication date
US6908892B2 (en) 2005-06-21
WO2001096964A8 (en) 2002-03-21
WO2001096964A1 (en) 2001-12-20
CN1203378C (zh) 2005-05-25
CN1434931A (zh) 2003-08-06
TWI283338B (en) 2007-07-01
US20030158058A1 (en) 2003-08-21
AU2001262793A1 (en) 2001-12-24
KR20010111605A (ko) 2001-12-19
KR100363271B1 (ko) 2002-12-05
JP2004526981A (ja) 2004-09-02

Similar Documents

Publication Publication Date Title
JP3679753B2 (ja) レジスト剥離剤組成物
JP3940634B2 (ja) レジスト除去用組成物及びこれを利用したレジスト除去方法
JP3514435B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
TWI752528B (zh) 用於半導體基材的清潔組合物
JP3833176B2 (ja) フォトレジスト除去剤組成物
JPH1184686A (ja) レジスト剥離剤組成物
JP4698123B2 (ja) レジスト除去剤組成物
JP2008519310A (ja) アルミニウム含有基板に使用するためのポストエッチ洗浄組成物
JP3742624B2 (ja) フッ化アンモニウムを含有するフォトレジスト除去剤組成物
US20040185370A1 (en) Resist remover composition
TW526397B (en) Resist remover composition
KR100862988B1 (ko) 포토레지스트 리무버 조성물
KR100742119B1 (ko) 포토레지스트 리무버 조성물
KR100378551B1 (ko) 레지스트 리무버 조성물
US20040152022A1 (en) Resist remover composition
JP2004177669A (ja) シリコン含有2層レジストの剥離除去方法及びこれに用いる洗浄液
KR20030026665A (ko) 포토레지스트 리무버 조성물
KR20040083157A (ko) 포토레지스트용 스트리퍼 조성물
KR20020019813A (ko) 암모늄 플로라이드를 함유하는 포토레지스트 리무버조성물
JP2000164597A (ja) サイドウォール除去液

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051221

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060327

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060620

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060718

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090728

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100728

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110728

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110728

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120728

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120728

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130728

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees