JP3528665B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3528665B2
JP3528665B2 JP06443099A JP6443099A JP3528665B2 JP 3528665 B2 JP3528665 B2 JP 3528665B2 JP 06443099 A JP06443099 A JP 06443099A JP 6443099 A JP6443099 A JP 6443099A JP 3528665 B2 JP3528665 B2 JP 3528665B2
Authority
JP
Japan
Prior art keywords
layer
metal
forming
barrier layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP06443099A
Other languages
English (en)
Other versions
JP2000195954A (ja
Inventor
通雄 朝比奈
和己 松本
直弘 守屋
英司 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP06443099A priority Critical patent/JP3528665B2/ja
Priority to US09/420,025 priority patent/US6429493B1/en
Publication of JP2000195954A publication Critical patent/JP2000195954A/ja
Priority to US10/162,361 priority patent/US6511910B2/en
Application granted granted Critical
Publication of JP3528665B2 publication Critical patent/JP3528665B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関し、特に微細化されたコンタクト部に
おいてバリア性に優れた半導体装置およびその製造方法
に関する。
【0002】
【背景技術】LSIの素子の微細化、高密度化および多
層化に伴い、微細でアスペクト比の大きいスルーホール
での配線材料の埋め込み技術が重要な課題となってい
る。従来、例えば、0.5μm以下の口径サイズおよび
アスペクト比が2以上のコンタクト部においては、スル
ーホールにタングステンプラグを挿入して、スルーホー
ルを塞ぐとともに配線層のアルミニウムとシリコン基板
のシリコンとの反応を防止している。しかし、このコン
タクト構造では、タングステンの電気的抵抗が大きいこ
と、エレクトロマイグレーション耐性の劣化が生じやす
いこと、および工程が複雑なことから生ずる歩留まりの
低下などの問題がある。そこで、電気的抵抗が小さく、
タングステンプラグのように複雑な埋め込み工程を必要
としない、スルーホールへのアルミニウムの埋め込み技
術の導入が検討されている。
【0003】しかし、アルミニウムを用いたコンタクト
部では、アルミニウムとシリコン基板のシリコンとの反
応によるジャンクションリークの対策を完璧に行なう必
要があり、バリア層のバリア性が高いことが要求され
る。
【0004】バリア層としては、例えば、窒素雰囲気中
での反応性スパッタ法で形成された、窒化チタンなどの
高融点金属の窒化物層が用いられている。このようなバ
リア層は、以下のような問題を有している。
【0005】窒素雰囲気中での反応性スパッタ法で形
成された窒化チタン層は、カバレッジ性が不十分である
ことから、微細で高いアスペクト比のスルーホールの底
部でのカバレッジが十分でないこと。
【0006】窒素雰囲気中での反応性スパッタ法で形
成された窒化チタン層は、膜のストレスが大きいため、
マイクロクラックが生じやすく、その結果、配線材料の
アルミニウムが拡散してジャンクションリークが発生し
やすいこと。
【0007】窒素雰囲気中での反応性スパッタ法によ
り形成された窒化チタン層は、柱状結晶のため、結晶粒
界を介してアルミニウムが拡散してジャンクションリー
クが生じやすいこと。
【0008】柱状結晶の窒化チタン層の配向によりア
ルミニウム層の〈111〉配向が決定されるが、窒化チ
タンの結晶配向が必ずしも均一でないことからアルミニ
ウム層の〈111〉配向の面方位の違いにより、アルミ
ニウム層の表面荒れが生じ、このアルミニウム層のフォ
トリソグラフィーでのアライメントが困難となること。
【0009】さらに、窒素雰囲気中での反応性スパッ
タ法により形成された窒化チタン層は、その膜ストレス
のため成膜中に剥離を生ずることがあり、パーティクル
を生じやすく、このパーティクルによるウエハ表面の汚
染によりパーティクルショートが生じ、歩留まりの低下
の原因となっていること。
【0010】
【発明が解決しようとする課題】本発明の目的は、例え
ばハーフミクロン以下の微細なコンタクト部での導電材
料の埋め込みが良好に行なわれ、かつジャンクションリ
ークがなく高いバリア性を有する、半導体装置およびそ
の製造方法を提供することにある。
【0011】
【課題を解決するための手段】本発明に係る半導体装置
は、素子を含む半導体基板、前記半導体基板の上に形成
された層間絶縁層、前記層間絶縁層に形成されたスルー
ホール、前記層間絶縁層および前記スルーホールの表面
に形成されたバリア層、および前記バリア層の上に形成
された配線層、を含み、前記バリア層は、該バリア層を
構成する金属の酸化物からなる金属酸化物層、および該
バリア層を構成する金属の窒化物からなる金属窒化物層
を含む。
【0012】この半導体装置によれば、バリア層は、金
属窒化物層に加え、金属酸化物層を含み、高い導電性を
確保しながら優れたバリア性を有する。
【0013】前記バリア層は、該バリア層を構成する金
属の酸化物からなる第1の金属酸化物層、該バリア層を
構成する金属の窒化物からなる金属窒化物層、および該
バリア層を構成する金属の酸化物からなる第2の金属酸
化物層、を含むことが望ましい。
【0014】そして、前記バリア層を構成する第1およ
び第2の金属酸化物層は、高いバリア性を得るために、
アモルファスをなすことが望ましい。
【0015】前記バリア層を構成する第1の金属酸化物
層は、バリア性および導電性を考慮すると、その膜厚が
5〜30nmであることが望ましい。同様に、前記バリ
ア層を構成する第2の金属酸化物層は、その膜厚が5〜
30nmであることが望ましい。これらの金属酸化物層
は、連続していてもよく、あるいは不連続であってもよ
い。
【0016】前記配線層は、アルミニウムあるいはアル
ミニウムを主成分とする合金からなることが望ましい。
前記配線層の材料としては、これらのアルミニウムある
いはアルミニウム合金の他にも、銅、金、白金などを用
いることができる。また、必要に応じて、スルーホール
の埋込み材としてタングステンプラグを用いてもよい。
【0017】本発明に係る半導体装置は、素子を含む半
導体基板の上に形成された層間絶縁層にスルーホールを
形成する工程、前記層間絶縁層および前記スルーホール
の表面にバリア層を形成する工程、および前記バリア層
の上に配線層を形成する工程、を含み、前記バリア層を
形成する工程は、以下の工程(a)〜(d)を含む製造
方法によって形成される。 (a)前記バリア層を構成するための金属層を形成する
工程、(b)水素雰囲気中で熱処理することにより、前
記金属層を水素合金化あるいは水素吸蔵化させる工程、
(c)酸素を含む雰囲気中で、前記金属層と酸素とを接
触させる工程、および(d)窒素雰囲気中で熱処理する
ことにより、金属酸化物層および金属窒化物層を形成す
る工程。
【0018】この製造方法においては、前記バリア層
は、金属酸化物層および金属窒化物層を含んで形成さ
れ、さらに詳細には、前記バリア層は、該バリア層を構
成する金属の酸化物からなる第1の金属酸化物層、該バ
リア層を構成する金属の窒化物からなる金属窒化物層、
および該バリア層を構成する金属の酸化物からなる第2
の金属酸化物層、を含んで形成される。
【0019】本発明の製造方法によれば、工程(a)に
おいては、スパッタ法やCVD法などによって単一の金
属層を形成し、その後工程(d)で金属窒化物層を形成
するので、例えばスパッタ法で直接金属窒化物層を形成
する場合に比べて、密着性およびスルーホール底部での
カバレッジが良好な成膜ができる。また、工程(a)で
金属層を形成した後、工程(b)において、該金属層を
水素合金化あるいは水素吸蔵化させることにより、その
後の熱処理で半導体基板のシリコンと金属層の金属との
反応がある程度抑制される。その結果、工程(d)にお
ける金属の窒化反応および酸化反応が確実に行われ、金
属窒化物層および金属酸化物層が形成される。そして、
金属酸化物層が存在することにより、バリア層のバリア
性が飛躍的に向上し、かつ、バリア層の導電性も確保さ
れることが確認されている。
【0020】前記金属酸化物層が金属窒化物層を介在さ
せて第1および第2の2層で形成される理由は、必ずし
も明らかではないが以下のように考えられる。つまり、
工程(a)で形成される金属層に酸素が吸蔵されてお
り、さらに工程(c)で金属層と酸素とを接触させるこ
とにより、酸素が金属層の中および表面に導入される。
そして、工程(b)の熱処理で、第1の金属酸化物層の
一部が形成され、工程(d)の熱処理で、さらに第1の
金属酸化物層の形成が進むとともに、金属窒化物層およ
び第2の金属酸化物層が形成される。
【0021】前記工程(b)において、前記熱処理は、
前記金属層を十分に水素合金化あるいは水素吸蔵化させ
るために、200〜800℃で行われることが望まし
い。また、水素雰囲気での水素の割合は、処理温度にも
依存するが、1〜100%であることが望ましい。
【0022】前記工程(c)において、前記酸素を含む
雰囲気は、少なくとも酸素が10%、好ましくは10〜
30%含まれることが望ましい。この工程では、前記工
程(b)で水素合金化あるいは水素吸蔵化された金属層
の表面に酸素が接触すればよい。
【0023】前記工程(d)において、前記熱処理は、
水素が脱離し、かつ前記金属層の窒化と酸化が行われる
ために、600〜900℃で行われることが望ましい。
そして、前記工程(d)では、雰囲気の圧力は特に限定
されないが、常圧であることが望ましい。
【0024】前記バリア層を形成するための金属は、バ
リア性および導電性を考慮すると、チタン、コバルト、
ルテニウム、モリブデン、ハフニウム、ニオブ、バナジ
ウム、タンタルおよびタングステンから選択される少な
くとも1種を含むことが望ましい。
【0025】前記バリア層を形成するための金属層は、
その後の工程で形成される金属窒化物層および金属酸化
物層の膜厚を考慮すると、その膜厚が50〜150nm
であることが望ましい。
【0026】
【発明の実施の形態】図1〜図6は、本発明に係る半導
体装置の製造方法を示し、図7は半導体装置の一実施の
形態を説明するための概略断面図である。
【0027】以下に、半導体装置の製造方法の一例を示
す。
【0028】(素子の形成)まず、図1に示すように、
一般的に用いられる方法によって、シリコン基板11に
MOS素子が形成される。具体的には、例えば、シリコ
ン基板11上に選択酸化によってフィールド絶縁層12
が形成され、アクティブ領域にゲート酸化層13が形成
される。チャネル注入により、しきい値電圧を調整した
後、モノシラン(SiH4)を熱分解して成長させたポ
リシリコン層14の上にタングステンシリサイド層15
がスパッタされ、さらに所定パターンにエッチングする
ことにより、ゲート電極19が形成される。
【0029】次いで、リンをイオン注入することにより
ソース領域あるいはドレイン領域の低濃度不純物層16
が形成される。次いで、ゲート電極19のサイドにシリ
コン酸化膜からなる側壁スペーサ17が形成された後、
ヒ素をイオン注入し、ハロゲンランプを用いたアニール
処理によって不純物の活性化を行うことにより、ソース
領域あるいはドレイン領域の高濃度不純物層18が形成
される。
【0030】(層間絶縁層の形成)次に、図2に示すよ
うに、層間絶縁層20のベース層として、まず、テトラ
エトキシラン(TEOS)と酸素とをプラズマ反応させ
ることにより、膜厚100〜200nmのシリコン酸化
層(図示せず)が形成される。このシリコン酸化層は、
カスピングもなく、SiH4から成長させた膜より絶縁
性も高くフッ化水素の水溶液に対するエッチング速度も
遅く、緻密な膜となる。
【0031】次に、層間絶縁層20の平坦化層として、
前記シリコン酸化層上に、SiH4あるいはTEOSな
どのシラン化合物と、酸素やオゾン等と、リンおよびホ
ウ素とを含むガスを気相反応させることにより、膜厚数
百nm〜1μm位のBPSG層(図示せず)が形成され
る。その後、窒素雰囲気中で800〜900℃のアニー
ルを行い、高温フローによる平坦化を行う。なお、BP
SG層の高温フローを行う代わりに、一般的に用いられ
るSOG膜を用いて平坦化を行うこともできる。
【0032】さらに、前記BPSG層の代わりに、本出
願の出願人による特許願(たとえば特願平9−3145
18号)に記載された、シリコン化合物と過酸化水素と
を化学気相成長法によって反応させて形成されるシリコ
ン酸化層を用いてもよい。このシリコン酸化層は、それ
自体で高い流動性を有し、優れた自己平坦化特性を有す
る。そのメカニズムは、シリコン化合物と過酸化水素と
を化学気相成長法によって反応させると、気相中におい
てシラノールが形成され、このシラノールがウエハ表面
に堆積することにより流動性のよい膜が形成されること
によると考えられる。
【0033】前記シリコン化合物としては、例えばモノ
シラン、ジシラン、SiH2Cl2、SiF4などの無機
シラン化合物、およびCH3SiH3、トリプロピルシラ
ン、テトラエトキシシランなどの有機シラン化合物など
を例示することができる。
【0034】また、このシリコン酸化層の成膜工程は、
前記シリコン化合物が無機シリコン化合物の場合には、
0〜20℃の温度条件下で、前記シリコン化合物が有機
シリコン化合物の場合には、100〜150℃の温度条
件下で、減圧化学気相成長法によって行われることが望
ましい。
【0035】(スルーホールの形成)次いで、図2に示
すように、CHF3とCF4とを主ガスとした反応性イオ
ンエッチャーで層間絶縁層20を構成するBPSG層
(平坦化層)およびシリコン酸化層(ベース層)を選択
的に異方性エッチングすることにより、口径が0.2〜
0.5μm、アスペクト比が2〜5のスルーホール22
が形成される。
【0036】(バリア層の成膜) (a)金属層の形成 まず、図3に示すように、ターゲットとウエハとの距離
が150〜320mmの超ロングスロースパッタ装置
で、層間絶縁層20およびスルーホール22の表面に膜
厚50〜150nmのチタン層29を形成する。スルー
ホール22の底部におけるチタン層29の膜厚はおよそ
15〜80nmであり、また、チタン層29のスルーホ
ール22でのカバレッジも良好で、スルーホールの上部
におけるせり出しもほとんどない。このように超ロング
スロースパッタによってチタン層29を形成することに
り、スルーホール上部で、ウェッテイング層を構成する
金属と埋込み層を構成するアルミニウムとが反応して、
スルーホールを塞いでしまうピンチオフ現象を生じにく
い。
【0037】バリア層を形成するための金属としては、
チタンの他に、コバルト、ルテニウム、モリブデン、ハ
フニウム、ニオブ、タンタル、タングステンなども用い
ることができる。
【0038】(b)水素雰囲気中での熱処理 次いで、100%の水素雰囲気中で、200〜800℃
で、かつ20〜60分にわたってウエハをアニール処理
する。この工程で、チタン層29は水素合金化あるいは
水素吸蔵化される。すなわち、チタンの場合を例にとる
と、図4に示すように、例えば400℃で20分間のア
ニールにより、チタン層に10原子%以上の水素が吸蔵
され、TiHX合金層32が形成されるとともに、シリ
コン基板11との境界領域ではチタンとシリコンとの反
応が起こり、チタンシリサイド層31が形成される。さ
らに、チタン層29の最表面には、酸素が含まれる酸素
リッチ層が形成される。
【0039】この工程(b)において、金属層を水素合
金化あるいは水素吸蔵化させることにより、アニール処
理で半導体基板のシリコンと金属層を構成するチタンと
の反応がある程度抑制される。その結果、後の工程
(d)における金属の窒化反応および酸化反応が確実に
行われ、金属窒化物層および金属酸化物層が形成され
る。
【0040】(c)次いで、ウエハを酸素を含む雰囲
気、例えば大気中に置くことより、TiHX合金層32
と酸素とを接触させる。この工程により、TiHX合金
層32の表面に酸素が吸着される。
【0041】この工程では、ウエハを大気中に置く変わ
りに、酸素を10〜30体積%の濃度で含む雰囲気中に
存在させてもよい。
【0042】(d)次いで、常圧の窒素雰囲気中で、6
00〜800℃で10〜60秒にわたって、ランプアニ
ールによる熱処理を行なうことにより、さらに金属の窒
化とシリサイド化と酸化とを行なう。この工程では、T
iHX合金層32中の水素はほとんど脱離し、図5に示
すように、チタンと窒素との反応による窒化チタン層3
3、チタンとシリコン基板11のシリコンとの反応によ
るチタンシリサイド層31とが形成される。これととも
に、図7に拡大して示すように、チタンシリサイド層3
1と窒化チタン層33との境界領域に第1の酸化チタン
層(第1の金属酸化物層)30が、窒化チタン層33の
表面に第2の酸化チタン層(第2の金属酸化物層)34
が形成される。第1の酸化チタン層(第1の金属酸化物
層)30は、アモルファスの状態をなしていることが確
認された。また、第2の酸化チタン層34は、窒化チタ
ン層33の表面に不連続に形成され、やはりアモルファ
スの状態をなしていることが確認された。
【0043】バリア層を構成する第1の酸化チタン層
は、バリア性および導電性を考慮すると、その膜厚が5
〜30nmであることが望ましい。同様に、バリア層を
構成する第2の酸化チタン層は、その膜厚が5〜30n
mであることが望ましい。
【0044】これらの第1および第2の酸化チタン層3
0,34により、バリア層は優れたバリア機能を有す
る。また、第1および第2の酸化チタン層30,34の
膜厚、ランプアニールの温度などが制御されることによ
り、バリア層における導電性も十分に確保される。
【0045】以上の工程によって、バリア層は、少なく
とも、第1の酸化チタン層30、窒化チタン層33およ
び第2の酸化チタン層34を有する。
【0046】上記工程(a)〜(d)の後に、必要に応
じて、酸素プラズマ処理を行うことができる。この酸素
プラズマ処理は、0.1×102〜1.5×102Paの
圧力で酸素プラズマ中に10〜100秒間さらし、次い
で、450〜700℃の窒素または水素雰囲気中で10
〜60分間にわたってアニール処理することにより行わ
れる。この酸素プラズマ処理により、バリア層の窒化チ
タン層中に酸化チタンを島状に形成することができる。
そして、この処理によりバリア層のバリア性をさらに向
上させることができることを確認している。
【0047】また、バリア層中に酸化チタンを島状に形
成する方法としては、少なくとも数百ppm〜数%の酸
素を含むランプアニール炉における400〜800℃の
熱処理によっても行うことができ、同様にバリア層のバ
リア性をさらに向上させることができる。
【0048】(脱ガス処理)次に、脱ガス工程を含む熱
処理ついて説明する。
【0049】まず、ランプチャンバで、1.5×10-4
Pa以下のベース圧力、150〜250℃の温度で30
〜60秒間のランプ加熱(熱処理A)を施す。次いで、
別のチャンバで1×10-1〜15×10-1Paの圧力で
アルゴンガスを導入し、300〜550℃の温度で、3
0〜120秒間の熱処理(脱ガス工程;熱処理B)を行
うことによって、脱ガス処理を行う。
【0050】この工程においては、まず、熱処理Aにお
いて、主として、ウエハの裏面および側面を含むウエハ
全体を加熱処理することにより、ウエハに付着している
水分などを除去できる。
【0051】さらに、熱処理Bにおいて、主として、層
間絶縁層20を構成するBPSG層などの平坦化層中の
ガス化成分(酸素,水素,水,チッ素)を除去すること
ができる。その結果、次工程のアルミニウム膜の形成時
に、BPSG層からのガス化成分の発生が防止できる。
【0052】バリア層は数十原子%のガス化成分(酸
素,水素,水,チッ素)を固溶することから、バリア層
の形成後に、層間絶縁層20中のガス化成分を除去する
ことが、スルーホール内でのアルミニウム膜の成膜を良
好に行う上で、極めて有効である。バリア層の下位の平
坦化層中のガス化成分を十分に除去しておかないと、バ
リア層の形成時の温度(通常、300℃以上)で、平坦
化層中のガス化成分が放出され、このガスがバリア層中
に取り込まれる。さらに、このガスがアルミニウム膜の
成膜時にバリア層から離脱してバリア層とアルミニウム
膜との界面に出てくるため、アルミニウム膜の密着性や
流動性に悪影響を与えることがある。
【0053】(ウェッティング層の形成)さらに、必要
に応じて、チタン、ニオブ、タングステンなどの金属を
常温で20〜50nmの膜厚で成膜し、ウェッティング
層35を形成する。
【0054】(アルミニウム層の成膜前の熱処理および
ウエハの冷却)まず、ウエハの冷却を行う前に、ランプ
チャンバ内において、1.5×10-4Pa以下のベース
圧力、150〜250℃の温度で30〜60秒間の熱処
理(熱処理C)を行い、基板に付着した水などの物質を
除去する。その後、アルミニウム層を成膜する前に、基
板温度を100℃以下、好ましくは常温〜50℃の温度
に下げる。この冷却工程は、上記熱処理Cにより上昇し
た基板温度を下げるために重要なもので、例えば水冷機
能を有するステージ上にウエハを載置して該ウエハ温度
を所定温度まで下げる。
【0055】このようにウエハの冷却を行うことによ
り、第1のアルミニウム層を成膜する際に、層間絶縁層
20およびバリア層、さらにウエハ全面から放出される
ガス量を極力少なくすることができる。その結果、バリ
ア層とアルミニウム層36との界面に吸着する、カバレ
ッジ性や密着性に有害なガスの影響を防ぐことができ
る。
【0056】この冷却工程は、同一の構成のチャンバを
複数有する、アルミニウム層を成膜するためのスパッタ
装置を兼用して行われることが望ましい。例えばスパッ
タ装置内における水冷機能を有するステージ上に基板を
載置して該基板温度を所定温度まで下げることが望まし
い。
【0057】図9(a)は、水冷機能を有するステージ
を含むスパッタ装置の一例の模式図を、図9(b)は、
ステージの一例の平面図を示す。
【0058】このスパッタ装置は、同一の構成のチャン
バ50を複数備えたものである。チャンバ50内に、電
極をかねるターゲット51およびステージをかねる電極
52を有し、電極52上には冷却される基板(ウエハ)
Wが設置されるように構成されている。チャンバ50に
は、チャンバ内を減圧状態にするための排気手段60お
よびアルミニウムをスパッタリングする際にガスをチャ
ンバ内に供給する第1のガス供給路53が設けられてい
る。電極52は、ウエハWを電極52上に載置した際
に、電極52とウエハWとの間に所定の空間が生じるよ
うに、具体的には図9(b)のように、電極52の上面
の外周部分に沿って、突起状の支持部52aが設けられ
ている。さらに、電極52には、第2のガス供給路54
が接続されている。そして、熱伝導媒体としてのガス、
たとえばアルゴンガスは、第2のガス供給路54から、
電極52とウエハWとの間の空間に供給される。また、
電極52は、ウエハWを冷却するための冷却システムの
役割も兼務している。電極52は、冷媒供給路56から
供給される冷媒、たとえば水の還流により一定温度に調
節される。電極52の上面は、たとえば図9(b)に示
すように、前記空間に均一にガスを供給させるため、所
定のパターンで溝58が形成され、溝が交差する部分に
第2のガス供給路54の吹き出し口54aが設けられて
いる。
【0059】上記のスパッタ装置は、例えば以下のよう
に動作して、ウエハを冷却する。
【0060】チャンバ50内を排気手段60により6×
10-6Pa以下の減圧状態として、電極52の支持部5
2a上にウエハWを載置する。電極52とウエハW間の
熱伝導媒体としての役割を果たすガスを、第2のガス供
給路54から、電極52とウエハWとの間の空間に導入
し、該空間の圧力を600〜1000Paに保ち、か
つ、該空間からチャンバ内に漏出したガスを排気手段6
0で排気しながら、ウエハWを冷却する。
【0061】(アルミニウム層の成膜)まず、図6に示
すように、200℃以下、より好ましくは30〜100
℃の温度で、0.2〜1.0重量%の銅を含むアルミニ
ウムを膜厚150〜300nmでスパッタによって高速
度で成膜し、第1のアルミニウム層36aが形成され
る。この成膜工程では、ターゲットとウエハとの距離は
40〜200mmに設定されることが望ましい。続い
て、同一チャンバ内で基板温度350〜460℃に加熱
して、同様に銅を含むアルミニウムをスパッタにより低
速度で成膜し、膜厚300〜600nmの第2のアルミ
ニウム層36bが形成される。この成膜工程では、ター
ゲットとウエハとの距離は40〜200mmに設定され
ることが望ましい。ここで、アルミニウム層36の成膜
において、「高速度」とは、成膜条件や製造されるデバ
イスの設計事項によって一概に規定できないが、おおよ
そ10nm/秒以上のスパッタ速度を意味し、「低速
度」とは、おおよそ3nm/秒以下のスパッタ速度を意
味する。
【0062】アルミニウムのスパッタは、前述のウエハ
の冷却の際に用いられた、図9に示すスパッタ装置内で
行われることが望ましい。このように、減圧状態が保た
れた同一の装置内で冷却工程およびアルミニウムの成膜
の工程を行うことにより、基板の移動および設置の工程
の減少が図られ、その結果、工程の簡便化および基板の
汚染を防止することができる。
【0063】ここで、図9に示すスパッタ装置において
は、第1のガス供給路53および第2のガス供給路54
からは、いずれもアルゴンガスが供給される。そして、
アルミニウム層の成膜時の温度は、第2のガス供給路5
4から供給されるガスによって制御されたウエハWの温
度(基板温度)を意味する。
【0064】例えば、ウエハの温度制御は以下のように
行われる。まず、ステージ52の温度は、予め、第2の
アルミニウム層36bを形成するための温度(350〜
500℃)に設定されている。第1のアルミニウム層を
形成する際には、第2のガス供給路54からのガスの供
給はなく、基板温度はステージ52による加熱によっ
て、徐々に上昇する。第2のアルミニウム層を形成する
際には、第2のガス供給路54を介して加熱されたガス
が供給されることによって基板温度は急激に上昇し、所
定の温度で一定になるように制御される。
【0065】同一チャンバ内で第1のアルミニウム層3
6aおよび第2のアルミニウム層36bを連続的に成膜
することにより、温度およびパワーの制御を厳密に行う
ことができ、従来よりも低温でかつ安定したアルミニウ
ム層を効率よく形成することが可能となる。
【0066】前記第1のアルミニウム層36aの膜厚
は、良好なステップカバレッジで連続層を形成すること
ができること、並びに該アルミニウム層34より下層の
バリア層および層間絶縁層20からのガス化成分の放出
を抑制できることなどを考慮して、適正な範囲が選択さ
れ、例えば200〜400nmが望ましい。また、第2
のアルミニウム層36bは、スルーホールの大きさ並び
にそのアスペクト比などによって決定され、例えばアス
ペクト比が3程度で口径が0.5μm以下のホールを埋
めるためには、300〜1000nmの膜厚が必要であ
る。
【0067】(反射防止膜の成膜)さらに、別のスパッ
タチャンバで、スパッタにより窒化チタンを堆積するこ
とにより、膜厚30〜80nmの反射防止膜37が形成
される。その後、Cl2とBCl3のガスを主体とする異
方性ドライエッチャーで前記バリア層、アルミニウム層
36および反射防止膜37からなる堆積層を選択的にエ
ッチングして、金属配線層40のパターニングを行う。
【0068】このようにして形成された金属配線層40
では、アスペクト比が0.5〜3で、口径が0.2〜
0.8μmのスルーホール内において、ボイドを発生さ
せることなく良好なステップカバレッジでアルミニウム
が埋め込まれることが確認された。
【0069】(実験例) (a)透過型電子顕微鏡(TEM)による膜構造の解析 前述した方法によって図6および図7に示す構造のサン
プルとしての半導体装置を形成し、コンタクト部を含む
領域の断面の電子顕微鏡写真を撮影した。この実験で使
用したサンプルは、以下のようにして形成されたもので
ある。
【0070】まず、図1〜図6に示すように、前述した
方法で素子が形成されたシリコン基板11上に層間絶縁
層20を形成した後、口径が0.3μm、アスペクト比
4のスルーホールを形成した。続いて、ターゲットとウ
エハとの距離を300mmに設定した、超ロングスロー
スパッタ装置を用い、4×10-2Pa、250℃の条件
下で、チタンを70nmの膜厚で成膜した。このとき、
スルーホールの底部には約25nmのチタン層が形成さ
れ、スルーホール上端におけるチタン層のせり出しもほ
とんどなかった。次いで、400℃で20分間にわた
り、100%の水素雰囲気中でウエハをアニール処理し
た。その後、ウエハを大気中に置いた。次いで、常圧の
窒素雰囲気中で、800℃で30秒間にわたってランプ
アニールを行った。次いで、常圧のアルゴンガス雰囲気
中で、460℃で脱ガス処理を行い、さらにウェッティ
ング層として100nmのチタン層を形成した。その
後、ターゲットとウエハとの距離を300mmに設定し
たチャンバ内で、Al−Cu合金を常温で300nmの
膜厚で形成した。続いて、ターゲットとウエハとの距離
を170mmに設定したチャンバ内で、Al−Cu合金
を300nmの膜厚で形成した。さらに、反射防止膜と
して窒化チタン層を約30nmの膜厚で形成した。
【0071】このようにして得られたサンプルの透過型
電子顕微鏡により得られた写真をもとに得られた、コン
タクト部の各膜の組成および膜厚は、およそ以下のよう
であった。
【0072】 シリコン基板(Si) チタンシリサイド層(TiSi2) 75nm 第1の酸化チタン層(TiO2) 10nm 窒化チタン層(Ti2N) 25nm 第2の酸化チタン層(TiO2) 10nm アルミニウム−チタン層(Al3Ti) 150nm アルミニウム−銅層(Al−Cu) また、第1および第2の酸化チタン層は、アモルファス
構造を有することが確認された。
【0073】ウェッテング層を構成するチタンはアルミ
ニウムと反応してAl3Ti系の合金となり、この層上
にアルミニウム(Al−Cu)膜が形成される。そし
て、バリア層は、Al3Ti系の合金とも反応せず、安
定で優れたバリア性と導電性を備えていることが確認さ
れた。
【0074】(b)オージェ電子分光法による解析 図8は、オージェ電子分光法による測定結果を示す。図
8の横軸はスパッタ時間(分)を示し、縦軸はオージェ
電子強度を示す。図8は、シリコン基板およびバリア層
の領域を示している。図8から、シリコン基板とバリア
層との境界領域に、およびバリア層の表面付近に、それ
ぞれ酸素のピークP1およびP2が確認された。このこ
とからも、第1のシリコン酸化層および第2のシリコン
酸化層の存在が確認された。また、酸素のピークP1お
よびP2の間に窒化チタンのピークP3があることが確
認された。
【0075】(c)バリア性 本発明のサンプルと、バリア層に酸化シリコン層が存在
しない他は本発明のサンプルと同じ比較用サンプルとに
ついて、サンプルに熱処理を施すことによるリーク特性
について調べた。リーク特性は、サンプルを種々の条件
でアニール処理し、コンタクト部でリーク電流が発生し
たときのアニール条件を求めた。
【0076】その結果、本発明に係るサンプルでは、4
50℃で2時間にわたるアニール処理でもリーク電流の
発生、およびアルミニウムがバリア層を突き抜けてシリ
コン基板に進入するスパイク現象の発生がなかった。こ
れに対し、比較用サンプルにおいては、450℃で2時
間のアニール処理でリーク電流の発生が確認された。
【0077】このことから、本発明のサンプルは比較用
サンプルに比べ、バリア性が格段に優れていることが確
認された。
【0078】このように、本発明の半導体装置によれ
ば、バリア層に該バリア層を構成する金属酸化物層を含
むことにより、バリア層の導電性を確保しながら優れた
バリア性を有することが確認された。
【0079】上記実施の形態では、バリア層と基板との
接合部がバリア層−シリコン層の場合を説明したが、こ
の接合部はシリコン層の代わりにチタンシリサイド層や
コバルトシリサイド層のようなシリサイド層であっても
よい。また、バリア層を形成するための金属層は、スパ
ッタ法の代わりにCVD法で形成されてもよい。また、
配線層は、アルミニウム合金の代わりに例えば銅を用い
てもよい。特に、銅がメッキされた配線層では、配線層
とバリア層との高い密着性およびバリア層の高いバリア
性が要求され、バリア層として十分な材料が少ないが、
本発明によればこのような銅の配線層にも適用できる。
【0080】なお、上記実施の形態では、Nチャネル型
MOS素子を含む半導体装置について説明したが、Pチ
ャネル型あるいはCMOS型素子などを含む半導体装置
にも適用することができる。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造方法の一工程を模式
的に示す断面図である。
【図2】図1に示す工程に引き続いて行なわれる半導体
装置の製造方法の工程を模式的に示す断面図である。
【図3】図2に示す工程に引き続いて行なわれる半導体
装置の製造方法の工程を模式的に示す断面図である。
【図4】図3に示す工程に引き続いて行なわれる半導体
装置の製造方法の工程を模式的に示す断面図である。
【図5】図4に示す工程に引き続いて行なわれる半導体
装置の製造方法の工程を模式的に記す断面図である。
【図6】図5に示す工程に引き続いて行なわれる半導体
装置の製造方法の工程を模式的に示す断面図である。
【図7】本発明の半導体装置の要部を拡大して示す断面
図である。
【図8】本発明の半導体装置のサンプルについて求めた
SIMSの結果を示す図である。
【図9】(a)は、本発明の半導体の製造方法に用いら
れるスパッタ装置の一例を模式的に示す図であり、
(b)は、スパッタ装置のステージの一例を示す図であ
る。
【符号の説明】
11 シリコン基板 12 フィールド絶縁層 13 ゲート酸化層 16 低濃度不純物層 18 高濃度不純物層 17 側壁スペーサ 19 ゲート電極 20 層間絶縁層 22 スルーホール 30 第1の金属酸化物層(酸化チタン層) 31 金属シリサイド層(チタンシリサイド層) 33 金属窒化物層(窒化チタン層) 34 第2の金属酸化物層(酸化チタン層) 36 アルミニウム層 36a 第1のアルミニウム層 36b 第2のアルミニウム層 40 金属配線層
フロントページの続き (72)発明者 鈴木 英司 長野県諏訪市大和3丁目3番5号 セイ コーエプソン株式会社内 (56)参考文献 特開 平7−312354(JP,A) 特開 平5−129223(JP,A) 特開 平5−234936(JP,A) 特開 平9−326368(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/768 H01L 21/28 301

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】 素子を含む半導体基板の上に形成された
    層間絶縁層にスルーホールを形成する工程、 前記層間絶縁層および前記スルーホールの表面にバリア
    層を形成する工程、および前記バリア層の上に配線層を
    形成する工程、を含み、 前記バリア層を形成する工程は、以下の工程(a)〜
    (d)を含む、半導体装置の製造方法。 (a)前記バリア層を構成するための金属層を形成する
    工程、 (b)水素雰囲気中で熱処理することにより、前記金属
    層を水素合金化あるいは水素吸蔵化させる工程、 (c)酸素を含む雰囲気中で、水素合金化あるいは水素
    吸蔵化させた前記金属層と酸素とを接触させる工程、お
    よび (d)窒素雰囲気中で熱処理することにより、前記金属
    層を構成する金属の酸化物からなる金属酸化物層および
    該金属の窒化物からなる金属窒化物層を形成する工程。
  2. 【請求項2】 請求項において、 前記バリア層は、 該バリア層を構成する金属の酸化物からなる第1の金属
    酸化物層、前記第1の金属酸化物層上に形成された 該バリア層を構
    成する金属の窒化物からなる金属窒化物層、および前記
    金属窒化物層上に形成された該バリア層を構成する金属
    の酸化物からなる第2の金属酸化物層、 を含む、半導体装置の製造方法。
  3. 【請求項3】 請求項またはにおいて、 前記工程(b)において、前記熱処理は200〜800
    ℃で行われる、半導体装置の製造方法。
  4. 【請求項4】 請求項ないしのいずれかにおいて、 前記工程(c)において、前記酸素を含む雰囲気は、少
    なくとも酸素が10体積%含まれる、半導体装置の製造
    方法。
  5. 【請求項5】 請求項ないしのいずれかにおいて、 前記工程(d)において、前記熱処理は600〜900
    ℃で行われる、半導体装置の製造方法。
  6. 【請求項6】 請求項ないしのいずれかにおいて、 前記工程(d)において、前記窒素雰囲気は常圧状態で
    ある、半導体装置の製造方法。
  7. 【請求項7】 請求項ないしのいずれかにおいて、 前記バリア層を形成するための金属は、チタン、コバル
    ト、ルテニウム、モリブデン、ハフニウム、ニオブ、バ
    ナジウム、タンタルおよびタングステンから選択される
    少なくとも1種を含む、半導体装置の製造方法。
  8. 【請求項8】 請求項ないしのいずれかにおいて、 前記バリア層を形成するための金属層は、その膜厚が5
    0〜150nmである、半導体装置の製造方法。
  9. 【請求項9】 請求項ないしのいずれかにおいて、 前記配線層は、アルミニウムあるいはアルミニウムを主
    成分とする合金からなる、半導体装置の製造方法。
JP06443099A 1998-10-20 1999-03-11 半導体装置の製造方法 Expired - Lifetime JP3528665B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP06443099A JP3528665B2 (ja) 1998-10-20 1999-03-11 半導体装置の製造方法
US09/420,025 US6429493B1 (en) 1998-10-20 1999-10-18 Semiconductor device and method for manufacturing semiconductor device
US10/162,361 US6511910B2 (en) 1998-10-20 2002-06-03 Method for manufacturing semiconductor devices

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP29892798 1998-10-20
JP10-298927 1998-10-20
JP06443099A JP3528665B2 (ja) 1998-10-20 1999-03-11 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000195954A JP2000195954A (ja) 2000-07-14
JP3528665B2 true JP3528665B2 (ja) 2004-05-17

Family

ID=26405542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06443099A Expired - Lifetime JP3528665B2 (ja) 1998-10-20 1999-03-11 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US6429493B1 (ja)
JP (1) JP3528665B2 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3528665B2 (ja) * 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
KR20020053939A (ko) * 2000-12-26 2002-07-06 박종섭 반도체 소자의 베리어막 형성방법
US6518117B2 (en) * 2001-03-29 2003-02-11 Micron Technology, Inc. Methods of forming nitrogen-containing masses, silicon nitride layers, and capacitor constructions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
KR100457843B1 (ko) * 2002-09-18 2004-11-18 삼성전자주식회사 반도체 장치에서 콘택 형성 방법
WO2004114386A2 (en) * 2003-06-16 2004-12-29 Blue29 Corporation Methods and system for processing a microelectronic topography
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US6860944B2 (en) * 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
KR100583637B1 (ko) * 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
JP2005347511A (ja) 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4224434B2 (ja) 2004-06-30 2009-02-12 パナソニック株式会社 半導体装置及びその製造方法
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
JP4974880B2 (ja) * 2005-01-27 2012-07-11 スパンション エルエルシー 半導体装置及びその製造方法
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
WO2006102318A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US7691691B1 (en) 2006-05-23 2010-04-06 Kovio, Inc. Semiconductor device and methods for making the same
US20080029046A1 (en) * 2006-05-25 2008-02-07 Welles Robert D Hot water reclaimer
US8796125B2 (en) * 2006-06-12 2014-08-05 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
JP4498391B2 (ja) * 2006-07-21 2010-07-07 株式会社東芝 半導体装置の製造方法
US7701011B2 (en) * 2006-08-15 2010-04-20 Kovio, Inc. Printed dopant layers
US9196641B2 (en) 2006-08-15 2015-11-24 Thin Film Electronics Asa Printed dopant layers
US7767520B2 (en) * 2006-08-15 2010-08-03 Kovio, Inc. Printed dopant layers
US7538398B2 (en) * 2007-06-21 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for forming a semiconductor device source/drain contact
JP2010536159A (ja) * 2007-08-03 2010-11-25 パナソニック株式会社 半導体装置及びその製造方法
US20090050471A1 (en) * 2007-08-24 2009-02-26 Spansion Llc Process of forming an electronic device including depositing layers within openings
JP5670085B2 (ja) * 2010-04-05 2015-02-18 株式会社アルバック 半導体装置の製造方法
JP5618941B2 (ja) * 2011-08-10 2014-11-05 株式会社東芝 半導体装置
WO2013077954A1 (en) 2011-11-23 2013-05-30 Acorn Technologies, Inc. Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
WO2015057051A1 (en) * 2013-10-17 2015-04-23 Mimos Berhad Sputtering high throughput aluminum film
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US20170325327A1 (en) * 2016-04-07 2017-11-09 Massachusetts Institute Of Technology Printed circuit board for high power components
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
US10607893B2 (en) * 2018-02-17 2020-03-31 Globalfoundries Inc. Middle of line structures
US11075179B2 (en) 2018-08-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
CN111128869A (zh) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 优化热铝填孔能力的制备方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0674479B2 (ja) 1986-10-09 1994-09-21 スカイアルミニウム株式会社 リードフレーム、コネクタもしくはスイッチ用導電圧延材料
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US5010039A (en) 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
JP2508288B2 (ja) 1989-08-30 1996-06-19 三菱電機株式会社 半導体記憶装置
JPH0464222A (ja) 1990-07-04 1992-02-28 Fujitsu Ltd 半導体装置の製造方法
JP2660359B2 (ja) 1991-01-30 1997-10-08 三菱電機株式会社 半導体装置
US5180689A (en) 1991-09-10 1993-01-19 Taiwan Semiconductor Manufacturing Company Tapered opening sidewall with multi-step etching process
KR0126457B1 (ko) 1992-01-08 1997-12-26 기타오카 다카시 집적회로, 그 제조방법 및 그 박막형성장치
JPH065715A (ja) 1992-06-18 1994-01-14 Sony Corp 配線層の形成方法
KR950009934B1 (ko) 1992-09-07 1995-09-01 삼성전자주식회사 반도체 장치의 배선층 형성방법
JPH0685187A (ja) 1992-09-07 1994-03-25 Nec Corp 半導体記憶装置
JPH06151434A (ja) 1992-11-10 1994-05-31 Sony Corp 金属配線およびその形成方法
KR960010056B1 (ko) 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
US5373169A (en) 1992-12-17 1994-12-13 Actel Corporation Low-temperature process metal-to-metal antifuse employing silicon link
JP2596331B2 (ja) 1993-09-08 1997-04-02 日本電気株式会社 半導体装置およびその製造方法
JP2897631B2 (ja) 1993-12-28 1999-05-31 日本電気株式会社 半導体集積回路装置および製造方法
US5739046A (en) 1994-09-30 1998-04-14 United Microelectronics Corporation Method of making a reliable barrier layer
JPH08203896A (ja) 1995-01-26 1996-08-09 Mitsubishi Electric Corp 半導体装置の製造方法
US6114097A (en) * 1995-02-13 2000-09-05 The Regents Of The University Of California 3-D laser patterning process utilizing horizontal and vertical patterning
US5604140A (en) * 1995-05-22 1997-02-18 Lg Semicon, Co. Ltd. Method for forming fine titanium nitride film and method for fabricating semiconductor element using the same
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5956608A (en) 1996-06-20 1999-09-21 Applied Materials, Inc. Modulating surface morphology of barrier layers
JP2962250B2 (ja) 1996-11-12 1999-10-12 日本電気株式会社 半導体記憶装置の製造方法
CA2191260A1 (en) 1996-11-26 1998-05-26 Luc Ouellet Stabilization of the interface between tin and a1 alloys
SE511966C2 (sv) * 1997-06-09 1999-12-20 Ericsson Telefon Ab L M Förfarande och anordning för att hopskarva ändarna hos två optiska fibrer av olika typ med varandra
JPH1117004A (ja) 1997-06-19 1999-01-22 Sony Corp 半導体装置およびその製造方法
JP3456391B2 (ja) * 1997-07-03 2003-10-14 セイコーエプソン株式会社 半導体装置の製造方法
JP3381767B2 (ja) * 1997-09-22 2003-03-04 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
US6054768A (en) 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
JP2000150652A (ja) * 1998-09-03 2000-05-30 Seiko Epson Corp 半導体装置およびその製造方法
US6275627B1 (en) * 1998-09-25 2001-08-14 Corning Incorporated Optical fiber having an expanded mode field diameter and method of expanding the mode field diameter of an optical fiber
JP3606095B2 (ja) * 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
JP3528665B2 (ja) * 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
JP2001318262A (ja) * 2000-05-09 2001-11-16 Sumitomo Electric Ind Ltd 光ファイバ素子製造方法および光ファイバ素子
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt

Also Published As

Publication number Publication date
JP2000195954A (ja) 2000-07-14
US6511910B2 (en) 2003-01-28
US20020180043A1 (en) 2002-12-05
US6429493B1 (en) 2002-08-06

Similar Documents

Publication Publication Date Title
JP3528665B2 (ja) 半導体装置の製造方法
JP3033564B2 (ja) 半導体装置の製造方法
JP3436132B2 (ja) 半導体装置
JP3456391B2 (ja) 半導体装置の製造方法
JP3606095B2 (ja) 半導体装置の製造方法
US6326287B1 (en) Semiconductor device and method of fabricating the same
JPH08213343A (ja) 半導体装置およびその製造方法
JP2000164716A (ja) 半導体装置及びその製造方法
JP3509510B2 (ja) 半導体装置およびその製造方法
JP3533968B2 (ja) 半導体装置の製造方法
US6458703B2 (en) Method for manufacturing semiconductor devices with allevration of thermal stress generation in conductive coating
US6486555B2 (en) Semiconductor device having a contact structure using aluminum
KR100496716B1 (ko) 반도체장치및그제조방법
JP3922355B2 (ja) 半導体装置の製造方法
JP3123553B2 (ja) 半導体装置
JP3456392B2 (ja) 半導体装置の製造方法
JPH07273066A (ja) 半導体装置の製造方法
JPH09260376A (ja) 半導体装置およびその製造方法
JP3639142B2 (ja) 半導体装置の製造方法
JPH05214530A (ja) 微細孔への導体金属穴埋め方法及びその処理装置
JPH07263551A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040216

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080305

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090305

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090305

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110305

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120305

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120305

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 9