JP3001403B2 - 論理回路のレイアウト方法 - Google Patents

論理回路のレイアウト方法

Info

Publication number
JP3001403B2
JP3001403B2 JP7253648A JP25364895A JP3001403B2 JP 3001403 B2 JP3001403 B2 JP 3001403B2 JP 7253648 A JP7253648 A JP 7253648A JP 25364895 A JP25364895 A JP 25364895A JP 3001403 B2 JP3001403 B2 JP 3001403B2
Authority
JP
Japan
Prior art keywords
buffer
wiring
path
critical path
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP7253648A
Other languages
English (en)
Other versions
JPH0997842A (ja
Inventor
康明 黒田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP7253648A priority Critical patent/JP3001403B2/ja
Priority to US08/723,365 priority patent/US5838581A/en
Publication of JPH0997842A publication Critical patent/JPH0997842A/ja
Application granted granted Critical
Publication of JP3001403B2 publication Critical patent/JP3001403B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、論理回路のレイア
ウト方法に関し、特にクリティカルパスの遅延時間を補
正する方法に関する。
【0002】
【従来の技術】従来、この種の論理回路のレイアウト方
法は図2aに示すように原始レイアウトデータから抽出
した配線抵抗や配線容量と素子内部の予め求めてある遅
延値から回路内の全てもしくは外部から指定されたパス
の遅延時間を計算しクリティカルパス200を特定した
あと、図2bのレイアウト図が示すようにクリティカル
パス200の遅延時間を許容値に収めるためにクリティ
カルパス200上にバッファ201を挿入する。
【0003】挿入されるバッファ201の配置位置はク
リティカルパス200のドライブ側、つまりパスの始点
に一番近い位置に再配置領域205が設定され強制的に
配置される。従って、挿入したバッファ201の周辺あ
るブロック202、ブロック203の配置が変更され、
配線204が新たにクリティカルパスとなる。
【0004】一般的に素子内遅延より配線遅延が支配的
となる微細な配線を使用するLSIにおいては、配線遅
延時間が配線長の2乗に比例して増大するため、クリテ
ィカルパスをバッファで分割し、配線長を短くすること
は重要である。このようにバッファを挿入することによ
りパス遅延時間を短縮させる方法では、クリティカルパ
スとなる配線のドライブ側に近い位置にバッファを挿入
するほど、そのバッファに入力される波形の鈍りが小さ
いため挿入するバッファのサイズが小さくて済むことが
知られている。
【0005】また、公開特許公報(特開平4−2827
72)として知られている図3bのレイアウト図が示す
ような従来のレイアウト方法では、図3aに示すように
パス遅延時間計算によりクリティカルパス300を特定
したあと、クリティカルパス300にバッファ301を
挿入することによりパス遅延時間を許容値に収めること
が可能である再配置配線領域302の範囲を計算し、そ
の再配置領域302内にバッファ301を挿入すると同
時に再配置領域302内を再配置配線している。
【0006】従って、再配置領域302内にあるブロッ
ク303、ブロック304およびブロック305の配置
が変更され、配線306が新たにクリティカルパスとな
る。
【0007】
【発明が解決しようとする課題】上述した従来の論理回
路のレイアウト方法では、クリティカルパスのパス遅延
値を補正するために挿入するバッファの配置位置は固定
もしくはパス遅延値から計算された再配置領域内であ
る。従って、バッファが挿入されたクリティカルパスの
近傍にあったブロックの配置位置はバッファ挿入前と比
べて変化しており、これらのブロックに接続されていた
配線の長さも変化するためその配線のパス遅延値も変化
する。
【0008】つまり、クリティカルパスを補正するため
に挿入したバッファにより、バッファ周辺の配置が変化
するため、バッファ挿入前にはクリティカルパスではな
かったパスがクリティカルパスになることがある。新た
にクリティカルパスとなったパスも含めて全てのクリテ
ィカルパスを補正するためには、バッファを挿入するこ
とによって配置の変化したブロックに接続されている全
ての配線に対して、毎回パス遅延時間の計算とクリティ
カルパスの抽出を実行する必要があり、膨大な計算時間
を要する。
【0009】通常、パス遅延時間計算、クリティカルパ
スへのバッファ挿入およびバッファの配置配線の全ての
処理をレイアウトツールのみで行うのは、クロックツリ
ーのスキュー補正等の特殊なケースに限定されてる。一
般的にはパス遅延時間計算およびクリティカルパスへの
バッファ挿入は論理合成ツールで行い、レイアウトツー
ルは配置配線とレイアウトデータからの配線パラメータ
の抽出を行うことが多い。
【0010】論理合成ツールとレイアウトツールには一
般に別になっているので、まず、論理合成ツールが配線
遅延を見積り、その見積りに基づいて回路を合成し、そ
のネットリストをレイアウトツールに受け渡す。レイア
ウトツールはネットリストに基づいて配置配線を行ない
原始レイアウトデータを生成し、更に原始レイアウトデ
ータに基づいた配線容量や配線抵抗等の配線パラメータ
を抽出し、その配線パラメータを論理合成ツールに引き
渡す。
【0011】論理合成ツールはレイアウトの正確な配線
パラメータを使用して、再度パス遅延時間を計算し、ク
リティカルパスを抽出する。さらに、論理合成ツールは
クリティカルパスの遅延時間が大きすぎる時はそれを補
正するためにクリティカルパス上にバッファを挿入し、
新しいネットリストを生成する。レイアウトツールはこ
の新しいネットリストに基づいて配置配線を再実行し、
新しいレイアウト情報に基づいた配線パラメータを抽出
して論理合成ツールに引き渡す。あとは、全てのクリテ
ィカルパスが許容値に収まるまで論理合成ツールとレイ
アウトツール間のやりとりを繰り返す。論理合成ツール
とレイアウトツールはCADツールの中でも処理が重い
ツールであり一回の処理に数時間から数日を要するた
め、繰り返し回数は製品の開発期間に重大な影響を与え
るという欠点がある。
【0012】
【課題を解決するための手段】配置配線後のレイアウト
データから未配置領域の位置と大きさを抽出する未配置
領域情報抽出手段と、前記未配置領域に隣接する配線セ
グメントと前記未配置領域間の接続点となる仮想ノード
を前記配線セグメントに挿入する仮想ノード挿入手段
と、前記配線セグメントの配線抵抗および配線容量から
なる配線パラメータを抽出する配線パラメータ抽出手段
と、前記配線パラメータと素子固有の遅延パラメータか
らパスの遅延時間を計算するパス遅延時間計算手段と、
前記パス遅延時間からクリティカルパスを抽出するクリ
ティカルパス抽出手段と、前記クリティカルパスの前記
パス遅延時間を許容値に補正するために前記クリティカ
ルパスを構成する前記配線セグメントの前記仮想ノード
に挿入するバッファのサイズと位置を前記パス遅延時間
と前記仮想ノードに接続する前記未配置領域のサイズと
位置により決定するバッファ挿入手段と、前記バッファ
を前記仮想ノードに接続する前記未配置領域に配置配線
するバッファ配置配線手段を有する。
【0013】
【発明の実施の形態】次に本発明について図面を参照し
て説明する。図1は本発明の第一の形態を示すブロック
構成図である。図4は本発明によりレイアウトしたレイ
アウト図である。図5は図1のバッファ挿入手段におけ
るバッファ挿入手順の概要説明図である。図6は図1の
バッファ挿入手段およびバッファ配置配線手段の処理手
順を示したフローチャート図である。図7は本発明で使
用するデータ構造を示すデータ構造図である。
【0014】本実施例は、レイアウトツールによる配置
配線後の原始レイアウトデータ100を入力とし、回路
ブロックが配置されていない未配置領域の位置と大きさ
を抽出する未配置領域情報抽出手段101と、未配置領
域を通る配線セグメントと未配置領域間の接続点となる
仮想ノードを配線セグメントに挿入する仮想ノード挿入
手段102と、配線セグメントの配線抵抗および配線容
量からなる配線パラメータを抽出する配線パラメータ抽
出手段103と、配線パラメータと素子固有の遅延パラ
メータからパスの遅延時間を計算するパス遅延計算手段
104と、パス遅延時間からクリティカルパスを抽出す
るクリティカルパス抽出手段105と、クリティカルパ
スのパス遅延時間を許容値に補正するためにクリティカ
ルパスを構成する配線セグメントの仮想ノードに挿入す
るバッファのサイズと位置をパス遅延時間と仮想ノード
に接続する未配置領域のサイズと位置により決定するバ
ッファ挿入手段106と、バッファを仮想ノードに接続
する未配置領域に配置配線するバッファ配置配線手段1
07からなり、各手段は電子計算機のプログラムにより
実現される。
【0015】図4aに示す原始レイアウトに基づいたパ
ス遅延値計算の結果、ブロック401の出力端子である
N0ノードを始点とし、分岐点であるN1ノードを経由
してブロック402の入力端子であるN2ノードに至る
配線パスがクリティカルパス400であることが判明し
たとする。
【0016】まず、クリティカルパス400が通る未配
置領域としてE1未配置領域403とE2未配置領域4
04を抽出する。次に図4bに示すようにE1未配置領
域403の中心点405からクリティカルパス400の
配線に対して垂線を作成し、垂線がクリティカルパス4
00の配線と交差するポイントに仮想ノードとしてP0
仮想ノード407とP1仮想ノード408を設定し、P
0仮想ノード407およびP1仮想ノード408がE1
未配置領域403への接続ポイント、つまりクリティカ
ルパス400からE1未配置領域403への配線引出し
ポイントであることを記録する。同様にしてE2未配置
領域404に対してP2仮想ノード409を設定し、P
2仮想ノード409がE2未配置領域404に対する接
続ポイントであることを記録する。
【0017】クリティカルパス400は、ブロック40
1の出力端子であるN0ノードとP0仮想ノード407
間、P0仮想ノード407と分岐点であるN1ノード
間、N1ノードとP1仮想ノード408間、P1仮想ノ
ード408とP2仮想ノード409間、およびP2仮想
ノード409とブロック402の入力端子であるN2ノ
ード間という5つの配線セグメントに分割される。ここ
で各配線セグメント毎に配線パラメータである配線容量
と配線抵抗を配線セグメントの配線長をベースにして計
算し、計算結果を記録する。(図7a) 次に図4cに示すようにバッファ挿入手段とバッファ配
置配線手段により、クリティカルパス400の遅延が許
容値に収まるまで配線パスの始点に近い仮想ノードから
順にバッファ410を挿入する。各仮想ノードに挿入す
るバッファ410は仮想ノードを接続ポイントとする未
配置領域に配置および配線する。
【0018】次にクリティカルパスの仮想ノードに挿入
するバッファサイズと、仮想ノードを接続ポイントにす
る未配置領域サイズの関係について説明する。図5aは
図4bの仮想ノードと未配置領域の接続関係を模式した
ものである。P0仮想ノード407とP1仮想ノード4
08がE1未配置領域403への接続ポイントであり、
P2仮想ノード409がE2未配置領域404への接続
ポイントであることを示す。また、各未配置領域内が空
白の部分はこの未配置領域にはバッファが配置されてい
ない状態を示す。
【0019】図5bは、パスの始点に一番近いP0仮想
ノード407にE1未配置領域403におさまる大きさ
のバッファ410を挿入して配置配線することによっ
て、クリティカルパス400のパス遅延値が許容値に収
まった場合を示したものである。未配置領域内の斜線部
分はバッファ410によって使用された面積を示す。
【0020】図5cは、パスの始点に一番近いP0仮想
ノード407にバッファ410を挿入したが、未配置領
域403におさまる大きさのバッファではクリティカル
パス400のパス遅延値が許容値に収まらなかった場合
を示す。まず、P0仮想ノード407にE1未配置領域
403に収まる最大サイズのバッファ410を挿入し、
P0仮想ノード407の次にパスの始点に近いP1仮想
ノード408にバッファを挿入しようとする。しかし、
P1仮想ノード407が接続ポイントになっているE1
未配置領域にはバッファを配置するだけの面積はもはや
ない。そこで、さらに次のP2仮想ノード409にバッ
ファ411を挿入する。図5cはP2仮想ノード409
が接続ポイントであるE2未配置領域404に入る大き
さのバッファ411を配置配線することによってクリテ
ィカルパス400のパス遅延値が許容値に収まった状態
を示す。収まらなかった場合は、前述の処理をくり返し
てバッファを次の未配置領域に挿入する。次に、図6に
示すフローチャートを参照しながら前述したバッファ挿
入手段およびバッファ配置配線手段におけるバッファサ
イズの決定方法とバッファの配置配線方法について説明
する。
【0021】まず、クリティカルパス上にある仮想ノー
ドをポイントするノードポインタをクリティカルパスの
始点、つまりクリティカルパスをドライブする出力端子
にセットする(600)。次に、クリティカルパスのパ
ス遅延値を配線パラメータを用いて計算(601)し、
パス遅延値が許容値以内であれば処理を終了する(60
2,614)。許容値に入らなかった場合は次に、ノー
ドポインタがパスの終点をポイントしているかを検査す
る(603)。ノードポインタが終点をポイントしてい
る場合はクリティカルパスに挿入するバッファを未配置
領域に配置しながらクリティカルパスのパス遅延値を許
容値に収めることが出来なかったことを示すので、処理
を異常終了させ、従来手法のようにクリティカルパス周
辺を再配置するレイアウト方法を実行する(615)。
【0022】ノードポインタが終点をポイントしていな
い場合は、ノードポインタを次の仮想ノードにセットす
る(604)。次に、仮想ノードに挿入するバッファサ
イズを決定する。パスの始点になるブロックへの入力波
形鈍りをTrfl、パスの始点からP0仮想ノード方向
を見た等価容量をCa、等価抵抗をRa、パスの始点に
なるブロック401の出力端子から次段のブロック40
2までのパス遅延値をブロック401の入力端子への入
力波形なまりとブロック401の出力端子から見た負荷
の等価容量および等価抵抗であらかじめ計算しておいた
テーブルをTBL1とする。また、仮想ノードにおける
入力波形鈍りをTrf2、仮想ノードからパスの終点を
見た等価容量をCb、仮想ノードからパスの終点を見た
等価抵抗をRb、仮想ノードに挿入するバッファの出力
端子から次段のブロック402までのパス遅延値を、バ
ッファの入力端子への入力波形なまりとバッファの出力
端子から見た負荷の等価容量および等価抵抗であらかじ
め計算しておいたテーブルをTBL2とする。
【0023】仮想ノードに挿入するバッファの入力端子
から出力端子までの内部遅延値をTpd0とし、あらか
じめクリティカルパスに挿入するために用意しておいた
サイズの異なる複数のバッファに対して式1に示す条件
式を満足する最小サイズのバッファを選択する(60
5)。
【0024】 TBL1(Trf1,Ca,Ra)+Tpd0 +TBL2(Trf2,Ca,Rb)<許容値 …(式1) なお、等価容量と等価抵抗は前述したように図7aに示
すデータ構造で配線セグメント700毎に予め保持され
ている配線パラメータ701と各配線セグメントに接続
するブロックの入力容量で構成されるRCツリーを合成
することによって計算する。
【0025】次に、仮想ノードが接続ポイントとなる未
配置領域のサイズ獲得する。仮想ノードを検索キーとし
て未配置領域のサイズを獲得するためにはまず、図7b
で示すデータ構造を利用して仮想ノードに対応する未配
置領域番号リスト702から、バッファを配置するため
に使用可能な未配置領域の候補を取り出す。各未配置領
域の情報は図7cに示すデータ構造で管理される。未配
置領域の情報は、未配置領域の初期サイズ703とバッ
ファを挿入した後に残っている現在の未配置領域サイズ
704と挿入したバッファのバッファ番号を格納するバ
ッファ番号リスト705で構成される。次に、未配置領
域リスト702から取り出された未配置領域番号がポイ
ントする未配置領域の情報から現在の未配置領域サイズ
704を読み出し、候補中で最大のサイズを有する未配
置領域を選択する(606)。
【0026】ここまでの処理で、クリティカルパスの仮
想ノードに挿入するバッファサイズと、そのバッファを
配置するための未配置領域のサイズが確定しているの
で、バッファサイズと未配置領域サイズを比較する(6
07)。バッファサイズが未配置領域サイズより小さい
場合は、図7dに示すデータ構造にバッファサイズ70
6を記録し、図7cに示す未配置領域情報のバッファ番
号リスト705にバッファの識別番号を格納し、未配置
領域の残りサイズ704からバッファサイズ706を減
算する(608,610)。バッファサイズが未配置領
域サイズより大きい場合は、図7dに示すデータ構造に
未配置領域に配置可能な最大サイズのバッファサイズ7
06を記録し、図7cに示す未配置領域情報のバッファ
番号リスト705にバッファの識別番号を格納し、未配
置領域の残りサイズ704から未配置領域に配置可能な
最大サイズのバッファサイズ706を減算する(60
9,610)。
【0027】次にバッファを未配置領域に配置配線する
処理を即座に実行するか、配置配線に必要な情報だけを
作成し配置配線をしないかを外部から指示する配置配線
モードを調べる(611)。本発明が自動配置配線シス
テム内で実現される場合は配置配線モードで動作し、図
7a〜図7dに示すデータ構造に保持されたメモリー上
の情報に従って、即座にバッファを未配置領域に配置配
線する(612)。また、本発明が論理合成システム内
で実現される場合は、非配置配線モードで動作し、図7
a〜図7dに示すデータ構造に保持されたメモリー上の
情報を自動配置配線システムに渡すためのインターフェ
イスファイルに記録する。自動配置配線システムはイン
タフェースファイルの情報に従いバッファを未配置領域
に配置配線する(613)。
【0028】以上の処理をクリティカルパス上の仮想ノ
ードに対してパスの始点から適用し、クリティカルパス
が許容値に収まるか、ノードポインタがパスの終点に到
達するまで繰り返す。
【0029】次に本発明の第2の実施の形態について図
面を参照して説明する。図8は本発明の第2の実施の形
態によりレイアウトしたレイアウト図である。図8aに
示す原始レイアウトにおいてパス遅延値計算の結果、ブ
ロック801の出力端子であるN0ノードを始点とし、
分岐点であるN1リードを経由してブロック802の入
力端子であるN2ノードに至る配線パスがクリティカル
パス800であることが判明したとする。
【0030】まず、クリティカルパス800の近傍にあ
る未配置領域を検索するための未配置領域検索範囲80
3を設定する。未配置領域検索範囲803の領域境界線
はクリティカルパスを中心として、第2式で計算される
距離Rだけ離れた位置に設定する。
【0031】R=K/U …(第2式) R:未配置領域検索範囲境界線のクリティカルパスから
の距離 K:設計ルールにより一意に決まる定数値 U:セル使用率 次に、未配置領域検索範囲803に存在する未配置領域
を検索し、E3未配置領域804を抽出する。次に図8
bに示すようにE3未配置領域804の中心点805か
らクリティカルパス800の配線に対して垂線を作成
し、垂線がクリティカルパス800の配線と交差するポ
イントに仮想ノードとしてP3仮想ノード806を設定
し、P3仮想ノード806がE3未配置領域804への
接続ポイント、つまりクリティカルパス800からE3
未配置領域804への配線引出しポイントであることを
記録する。
【0032】クリティカルパス800はブロック801
の出力端子であるN0ノードとP3仮想ノード806
間、P3仮想ノード806と分岐点であるN1ノード
間、およびN1ノードとブロック802の入力端子であ
るN2ノード間という3つの配線セグメントに分割され
る。ここで各配線セグメント毎に配線パラメータである
配線容量と配線抵抗を配線セグメントの配線長をベース
にして計算し、計算結果を記録する。
【0033】次に図8cに示すように前述したバッファ
挿入手段とバッファ配置配線手段により、クリティカル
パス800の遅延が許容値に収まるまで配線パスの始点
に近い仮想ノードから順にバッファ807を挿入する。
各仮想ノードに挿入するバッファ807は仮想ノードを
接続ポイントとする未配置領域に配置および配線され
る。
【0034】本発明はセルベース集積回路やゲートアレ
ー型集積回路に対し特に有効である。
【0035】
【発明の効果】以上説明したように本発明は、原始レイ
アウトにおけるクリティカルパスの遅延時間を許容値に
収めるためにクリティカルパス上に挿入するバッファを
回路ブロックの未配置領域に配置し配線するため、従来
手法のように、挿入したバッファがクリティカルパスの
周辺にあるブロックの配置やブロック間の配線を変更し
新たなクリティカルパスを発生してしまうことがない。
従来、原始レイアウト内のクリティカルパスを全て許容
値以内に収束させるために、1回の処理に数時間から数
日を要する論理合成システムと自動配置配線システムの
処理を3回程度繰り返す必要があったが本発明では1回
で実現できるため、処理時間が1/3程度に短縮できる
という効果がある。
【図面の簡単な説明】
【図1】本発明のブロック構成図
【図2】第1の従来手法によるレイアウトの結果の図
【図3】第2の従来手法によるレイアウトの結果の図
【図4】本発明の第1の実施によるレイアウトの結果の
【図5】本発明のバッファ挿入手順の図
【図6】本発明のバッファ挿入およびバッファ配置配線
処理のフローチャート
【図7】本発明で使用するデータ構造の図
【図8】本発明の第2の実施によるレイアウトの結果の
【符号の説明】
100 原始レイアウトデータ 101 未配置領域情報抽出手段 102 仮想ノード挿入手段 103 配線パラメータ抽出手段 104 パス遅延計算手段 105 クリティカルパス抽出手段 106 バッファ挿入手段 107 バッファ配置配線手段107 108 レイアウトデータ 200,204,300,306,400,800
クリティカルパス 202,203,303,304,305,401,4
02,801,802 ブロック 205,305 再配置領域 201,301,410,500,807 バッファ 401,404,804 未配置領域 405,406,805 未配置領域の中心点 407,408,409,806 仮想ノード 600〜615 バッファ挿入手段およびバッファ配
置配線手段における内部処理 700 配線セグメントの内容 701 配線パラメータの内容 702 未配置領域番号リスト 703 未配置領域初期サイズ 704 未配置領域残りサイズ 705 バッファ番号リスト 706 バッファサイズ 803 未配置領域検索範囲

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 配置配線後のレイアウトデータから未配
    置領域の位置と大きさを抽出する未配置領域情報抽出手
    段と、前記未配置領域に隣接する配線セグメントと前記
    未配置領域間の接続点となる仮想ノードを前記配線セグ
    メントに挿入する仮想ノード挿入手段と、前記配線セグ
    メントの配線抵抗および配線容量からなる配線パラメー
    タを抽出する配線パラメータ抽出手段と、前記配線パラ
    メータと素子固有の遅延パラメータからパスの遅延時間
    を計算するパス遅延時間計算手段と、前記パス遅延時間
    からクリティカルパスを抽出するクリティカルパス抽出
    手段と、前記クリティカルパスの前記パス遅延時間を許
    容値に補正するために前記クリティカルパスを構成する
    前記配線セグメントの前記仮想ノードに挿入するバッフ
    ァのサイズと位置を前記パス遅延時間と前記仮想ノード
    に接続する前記未配置領域のサイズと位置により決定す
    るバッファ挿入手段と、前記バッファを前記仮想ノード
    に接続する前記未配置領域に配置配線するバッファ配置
    配線手段を有することを特徴とする論理回路のレイアウ
    ト方法。
  2. 【請求項2】 半導体集積回路内のクリティカルパスの
    遅延値を所望の遅延値内に収まる様にクリティカルパス
    にバッファを挿入する論理回路のレイアウト方法におい
    て、 前記バッファを原始レイアウト中の回路未配置領域に設
    置することを特徴とする論理回路のレイアウト方法。
  3. 【請求項3】 前記請求項2記載の論理回路のレイアウ
    ト方法において、前記回路未配置領域は複数の回路未配
    置領域から成り、前記バッファは複数のバッファ回路で
    構成され、各々のバッファ回路が前記複数の回路未配置
    領域に分けられて配置されていることを特徴とする論理
    回路のレイアウト方法。
  4. 【請求項4】 前記請求項3記載の論理回路のレイアウ
    ト方法において、前記複数のバッファ回路は異なる面積
    を有し、前記複数の回路未配置領域は前記クリティカル
    パスの径路に沿って設けられており、前記クリティカル
    パスの始点から終点に向って前記回路未配置領域の各々
    に格納できる最大の面積を持つバッファ回路を順次格納
    したことを特徴とする論理回路のレイアウト方法。
JP7253648A 1995-09-29 1995-09-29 論理回路のレイアウト方法 Expired - Lifetime JP3001403B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP7253648A JP3001403B2 (ja) 1995-09-29 1995-09-29 論理回路のレイアウト方法
US08/723,365 US5838581A (en) 1995-09-29 1996-09-30 Layout system for logic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7253648A JP3001403B2 (ja) 1995-09-29 1995-09-29 論理回路のレイアウト方法

Publications (2)

Publication Number Publication Date
JPH0997842A JPH0997842A (ja) 1997-04-08
JP3001403B2 true JP3001403B2 (ja) 2000-01-24

Family

ID=17254254

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7253648A Expired - Lifetime JP3001403B2 (ja) 1995-09-29 1995-09-29 論理回路のレイアウト方法

Country Status (2)

Country Link
US (1) US5838581A (ja)
JP (1) JP3001403B2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2882359B2 (ja) * 1996-04-27 1999-04-12 日本電気株式会社 レイアウト設計装置
US6006025A (en) * 1996-12-03 1999-12-21 International Business Machines Corporation Method of clock routing for semiconductor chips
JPH10189746A (ja) * 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
US5995735A (en) * 1997-06-23 1999-11-30 Sun Microsystems, Inc. Directional repeater physical assignment and connection for high-performance microprocessors
US6044209A (en) * 1997-09-15 2000-03-28 International Business Machines Corporation Method and system for segmenting wires prior to buffer insertion
US6202194B1 (en) * 1997-12-11 2001-03-13 Intrinsity, Inc. Method and apparatus for routing 1 of N signals
JP2868009B1 (ja) * 1998-01-30 1999-03-10 日本電気株式会社 遅延算出装置、遅延算出方法および遅延算出用プログラムを記録した記録媒体
US6117182A (en) * 1998-06-12 2000-09-12 International Business Machines Corporation Optimum buffer placement for noise avoidance
JP3662149B2 (ja) * 1998-10-08 2005-06-22 株式会社東芝 リピータ・セルの配置方法、その配置装置、および記録媒体
US6928401B1 (en) * 1999-06-10 2005-08-09 Hewlett-Packard Development Company, L.P. Interactive repeater insertion simulator (IRIS) system and method
JP2001125937A (ja) * 1999-10-25 2001-05-11 Fujitsu Ltd 半導体集積回路装置のレイアウト設計システム、半導体集積回路装置のレイアウト設計方法、およびそのシステムにおける各手段をもしくはその方法における各工程をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US6832180B1 (en) * 1999-10-29 2004-12-14 Sun Microsystems, Inc. Method for reducing noise in integrated circuit layouts
US7036104B1 (en) 1999-12-06 2006-04-25 International Business Machines Corporation Method of and system for buffer insertion, layer assignment, and wire sizing using wire codes
US7013253B1 (en) 2000-04-03 2006-03-14 Magma Design Automation, Inc. Method and apparatus for calculation of crosstalk noise in integrated circuits
US6473889B1 (en) 2000-04-29 2002-10-29 Hewlett-Packard Company Method of automatically generating repeater blocks in HDL and integrating them into a region constrained chip design
US6684373B1 (en) * 2000-07-20 2004-01-27 Silicon Graphics, Inc. Optimize global net timing with repeater buffers
US6573757B1 (en) 2000-09-11 2003-06-03 Cypress Semiconductor Corp. Signal line matching technique for ICS/PCBS
JP2002134619A (ja) * 2000-10-26 2002-05-10 Sony Corp 集積回路の設計方法、その設計装置、および記録媒体
US7454735B2 (en) * 2002-12-17 2008-11-18 International Business Machines Corporation ASIC clock floor planning method and structure
US7353477B2 (en) * 2004-04-28 2008-04-01 International Business Machines Corporation Method of identifying paths with delays dominated by a particular factor
US7810061B2 (en) * 2004-09-17 2010-10-05 Cadence Design Systems, Inc. Method and system for creating a useful skew for an electronic circuit
JP4761859B2 (ja) * 2005-07-14 2011-08-31 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト設計方法
JP4938696B2 (ja) * 2008-01-24 2012-05-23 ソニー株式会社 半導体装置の設計プログラムおよび半導体装置の設計システム
JP5842442B2 (ja) * 2011-08-01 2016-01-13 株式会社ソシオネクスト Lsi設計方法,設計プログラムおよび設計装置
KR20140133123A (ko) * 2013-05-09 2014-11-19 삼성디스플레이 주식회사 지연 파라미터 추출 장치 및 방법
CN106802970B (zh) 2015-11-26 2020-05-19 英业达科技有限公司 印刷电路板布局方法及***
TWI566114B (zh) * 2015-12-03 2017-01-11 英業達股份有限公司 印刷電路板佈局方法及系統
CN109376431A (zh) * 2018-10-25 2019-02-22 烟台市奥境数字科技有限公司 一种智能茶艺机ai芯片版图设计方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3130918B2 (ja) * 1990-10-31 2001-01-31 富士通株式会社 設計変更用セル及びこれを用いたレイアウト方法
JPH04235683A (ja) * 1991-01-11 1992-08-24 Nec Corp 指定長配線方式
JP3024241B2 (ja) * 1991-03-11 2000-03-21 日本電気株式会社 集積回路の自動配置配線システム
JP2695078B2 (ja) * 1991-06-10 1997-12-24 株式会社東芝 データ処理装置クロック信号の分配方法
JP3172211B2 (ja) * 1991-09-05 2001-06-04 富士通株式会社 回路合成システム
JPH05267453A (ja) * 1992-03-18 1993-10-15 Nec Ic Microcomput Syst Ltd 消費電力低減方法
JP2540762B2 (ja) * 1993-11-10 1996-10-09 日本電気株式会社 クロック信号供給方法
US5638291A (en) * 1994-10-14 1997-06-10 Vlsi Technology, Inc. Method and apparatus for making integrated circuits by inserting buffers into a netlist to control clock skew

Also Published As

Publication number Publication date
US5838581A (en) 1998-11-17
JPH0997842A (ja) 1997-04-08

Similar Documents

Publication Publication Date Title
JP3001403B2 (ja) 論理回路のレイアウト方法
US6539529B2 (en) Method and apparatus for designing integrated circuits and storage medium for storing the method
US6415427B2 (en) Method and apparatus for global routing, and storage medium having global routing program stored therein
US5974245A (en) Method and apparatus for making integrated circuits by inserting buffers into a netlist
US6966045B2 (en) Method and computer program product for estimating wire loads
US20040107408A1 (en) Method of optimizing signal lines within circuit, optimizing apparatus, recording medium having stored therein optimizing program, and method of designing circuit and recording medium having stored therein program for designing circuit
TW200849051A (en) System and method for sign-off timing closure of a VLSI chip
US6622294B2 (en) Adaptive power routing and shield sharing to reduce shield count
US6480996B1 (en) System and method for transposing wires in a circuit design
US6651232B1 (en) Method and system for progressive clock tree or mesh construction concurrently with physical design
US6513149B1 (en) Routing balanced clock signals
US5757657A (en) Adaptive incremental placement of circuits on VLSI chip
US6480994B1 (en) Balanced clock placement for integrated circuits containing megacells
KR20010024944A (ko) 전자 소자 및 장치의 설계 및 제조 방법
US6986119B2 (en) Method of forming tree structure type circuit, and computer product
US7257791B2 (en) Multiple buffer insertion in global routing
JP2715931B2 (ja) 半導体集積回路設計支援方法
JP3512757B2 (ja) レイアウト設計における最適化方法
JP2002164435A (ja) レイアウト設計方法
JP3420176B2 (ja) 半導体装置の自動配置配線方法
JP3017170B2 (ja) 半導体集積回路のレイアウト設計方法
JP2002215704A (ja) モジュールの端子位置決定方法及び装置
JP2959291B2 (ja) 信号自動分配方式
JPH07121600A (ja) 配線経路処理方法
JPH11145295A (ja) 自動配置配線方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19980825