JP2997554B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP2997554B2
JP2997554B2 JP2629891A JP2629891A JP2997554B2 JP 2997554 B2 JP2997554 B2 JP 2997554B2 JP 2629891 A JP2629891 A JP 2629891A JP 2629891 A JP2629891 A JP 2629891A JP 2997554 B2 JP2997554 B2 JP 2997554B2
Authority
JP
Japan
Prior art keywords
film
oxide film
electrode wiring
metal silicide
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2629891A
Other languages
Japanese (ja)
Other versions
JPH04266031A (en
Inventor
富夫 堅田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2629891A priority Critical patent/JP2997554B2/en
Publication of JPH04266031A publication Critical patent/JPH04266031A/en
Application granted granted Critical
Publication of JP2997554B2 publication Critical patent/JP2997554B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、金属シリサイドを用い
た電極配線を持つ半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device having an electrode wiring using metal silicide.

【0002】[0002]

【従来の技術】従来より、集積回路等の各種半導体装置
の電極配線として多結晶シリコンが広く用いられてい
る。しかし、多結晶シリコンは不純物をドープしても、
金属配線に比べて抵抗が高い。したがって集積回路の高
集積化,高速化が進むにしたがって、電極配線での信号
遅延が問題になっている。特にMOS型集積回路では、
通常MOSトランジスタのゲート電極がそのまま第1層
配線として用いられるので、ここでの抵抗は素子の高速
動作の障害となる。多結晶シリコンに代る耐熱性の低抵
抗電極配線材料として、高融点金属のシリサイドが注目
されている。図3および図4は、ゲート電極配線にタン
グステン・シリサイド(WSix )膜を用いた従来のL
DD構造MOS型半導体装置の製造工程を示している。
2. Description of the Related Art Conventionally, polycrystalline silicon has been widely used as electrode wiring for various semiconductor devices such as integrated circuits. However, even if polycrystalline silicon is doped with impurities,
Higher resistance than metal wiring. Therefore, as integrated circuits become higher in integration and higher in speed, signal delay in electrode wiring has become a problem. Especially in MOS type integrated circuits,
Normally, the gate electrode of the MOS transistor is used as it is as the first layer wiring, so that the resistance here becomes an obstacle to the high-speed operation of the element. As a heat-resistant low-resistance electrode wiring material that replaces polycrystalline silicon, silicide, a high-melting-point metal, has attracted attention. 3 and 4 show a conventional L using a tungsten silicide (WSix) film for the gate electrode wiring.
4 shows a manufacturing process of a DD structure MOS type semiconductor device.

【0003】図3(a) に示すように、シリコン基板11
に素子分離酸化膜12を形成した後、熱酸化によって5
〜20nm程度の薄いゲート酸化膜13を形成する。次に
図3(b) に示すように、CVD法によって100nm程度
の多結晶シリコン膜14を堆積し、続いてスパッタリン
グによって300nm程度のWSix 膜15を形成する。
そして通常のフォトリソグラフィによってこれらのWS
ix 膜15と多結晶シリコン膜14をパターニングし
て、図3(c) に示すようにゲート電極配線16を形成す
る。
[0003] As shown in FIG.
After the element isolation oxide film 12 is formed,
A thin gate oxide film 13 of about 20 nm is formed. Next, as shown in FIG. 3B, a polycrystalline silicon film 14 of about 100 nm is deposited by a CVD method, and a WSix film 15 of about 300 nm is formed by sputtering.
Then, these WSs are formed by ordinary photolithography.
The ix film 15 and the polycrystalline silicon film 14 are patterned to form a gate electrode wiring 16 as shown in FIG.

【0004】その後、ゲート電極をマスクとして不純物
のイオン注入を行って、図3(d) に示すように低濃度の
浅いソース,ドレイン拡散層18,19を形成する。こ
のとき、不純物活性化のためのイオン注入後の酸化性雰
囲気での熱処理によって、ゲート電極配線16の表面に
は20〜30nmの後酸化膜17が形成される。またこの
熱処理工程で、当初アモルファス状態であったWSix
膜15は結晶化され、WSi2 なる成分が多くなる。
Thereafter, impurity ions are implanted using the gate electrode as a mask to form low-concentration shallow source / drain diffusion layers 18 and 19 as shown in FIG. At this time, a post-oxide film 17 of 20 to 30 nm is formed on the surface of the gate electrode wiring 16 by heat treatment in an oxidizing atmosphere after ion implantation for impurity activation. In this heat treatment step, WSix which was initially in an amorphous state was
The film 15 is crystallized, and the component of WSi2 increases.

【0005】その後、LDD構造を形成するために、C
VD法によってシリコン酸化膜20を堆積しこれをエッ
チングして、図4(a) に示すようにゲート電極側壁に酸
化膜20を残す。このとき、WSix 膜15の上面は露
出する。その後、ゲート電極16と酸化膜20をマスク
として不純物のイオン注入を行って、高濃度のソース,
ドレイン拡散層を形成する。
Then, to form an LDD structure, C
A silicon oxide film 20 is deposited by the VD method and is etched to leave the oxide film 20 on the side wall of the gate electrode as shown in FIG. At this time, the upper surface of the WSix film 15 is exposed. After that, ion implantation of impurities is performed using the gate electrode 16 and the oxide film 20 as a mask, so that
A drain diffusion layer is formed.

【0006】この様な一連の工程に於いて、2回目の不
純物イオン注入工程に先立って、基板表面に薄く熱酸化
膜を形成する工程が入る。これは、CMOS構造の場合
には、pチャネル領域とnチャネル領域のイオン注入の
打ち分けを行うが、その際、マスクとして用いるフォト
レジストが基板に直接接触するのを防止する必要がある
こと、また後の不純物活性化の際の不純物の外方拡散を
防止する必要があること、等の理由による。ところが、
この不純物イオン注入工程に先立つ酸化熱処理工程で、
WSix 膜15が深く酸化され、図4(b) に示すように
異常酸化膜30が形成される。この異常酸化膜30は、
SiO2 とWO3 からなるもので、体積膨脹は約2.7
倍に達する。この異常酸化膜30によってゲート電極配
線の抵抗は大幅に増大し、またしばしば膜が剥がれる、
といった問題が生じる。
In such a series of steps, a step of forming a thin thermal oxide film on the substrate surface is performed prior to the second impurity ion implantation step. This means that, in the case of a CMOS structure, ion implantation for the p-channel region and ion implantation for the n-channel region are performed separately. At this time, it is necessary to prevent a photoresist used as a mask from directly contacting the substrate. In addition, it is necessary to prevent the outward diffusion of impurities at the time of activation of impurities later. However,
In the oxidation heat treatment step prior to this impurity ion implantation step,
The WSix film 15 is deeply oxidized, and an abnormal oxide film 30 is formed as shown in FIG. This abnormal oxide film 30
It consists of SiO2 and WO3 and has a volume expansion of about 2.7.
Reaches twice. The resistance of the gate electrode wiring is greatly increased by the abnormal oxide film 30, and the film is often peeled off.
Such a problem arises.

【0007】このWSix 膜の異常酸化は、図3(d) に
示す酸化膜17の形成工程では生じない。したがってこ
の異常酸化は、WSix が結晶化されていることが前提
となっている。本発明者等の検討によれば、この現象は
次のように理解される。WSix 膜がアモルファス状態
では、酸化性雰囲気に晒したときに、WSix 中のSi
が主として酸化されて良質の酸化膜(SiO2)が形成さ
れ、これが表面を覆ってその後の酸化が抑えられる。こ
れに対して、WSix 膜が結晶化されてWSi2 結晶粒
が表面を覆っていると、酸化性雰囲気に晒したとき酸化
によるSiの消費に対してSiの供給が不十分となり、
Wが直接酸化される事態になるものと思われる。
The abnormal oxidation of the WSix film does not occur in the step of forming the oxide film 17 shown in FIG. Therefore, this abnormal oxidation is based on the premise that WSix is crystallized. According to the study by the present inventors, this phenomenon is understood as follows. When the WSix film is in an amorphous state, when exposed to an oxidizing atmosphere, the
Is mainly oxidized to form a high-quality oxide film (SiO2), which covers the surface and suppresses subsequent oxidation. On the other hand, when the WSix film is crystallized and the WSi2 crystal grains cover the surface, the supply of Si becomes insufficient with respect to the consumption of Si by oxidation when exposed to an oxidizing atmosphere.
It seems that W is directly oxidized.

【0008】[0008]

【発明が解決しようとする課題】以上のように、従来の
金属シリサイド膜電極配線を用いた半導体装置の製造方
法では、シリサイド膜が複数回の酸化熱処理工程の後に
異常酸化膜が形成され、電極配線の信頼性が確保できな
いという問題があった。本発明は、この様な点に鑑みな
されたもので、信頼性の高い金属シリサイド電極配線を
持つ半導体装置の製造方法を提供することを目的とす
る。
As described above, in the conventional method of manufacturing a semiconductor device using a metal silicide film electrode wiring, an abnormal oxide film is formed on the silicide film after a plurality of oxidation heat treatment steps. There is a problem that the reliability of the wiring cannot be secured. SUMMARY OF THE INVENTION The present invention has been made in view of such circumstances, and has as its object to provide a method of manufacturing a semiconductor device having highly reliable metal silicide electrode wiring.

【0009】[0009]

【課題を解決するための手段】本発明は、半導体基板上
にアモルファス状態の金属シリサイド膜からなる電極配
線を形成し、第1の酸化熱処理により金属シリサイド膜
表面に酸化膜を形成すると共に、前記金属シリサイド膜
を結晶化させ、前記半導体基板上に前記電極配線を覆う
ように酸化性雰囲気で絶縁膜を堆積した後、前記絶縁膜
を前記電極配線の少なくとも側部に残しつつ、前記電極
配線上の前記絶縁膜を除去して前記電極配線上の前記酸
化膜を露出させて、金属シリサイド膜表面の酸化膜を一
旦除去し、第2の酸化熱処理を行う場合に、第2の熱酸
化処理に先立って露出している金属シリサイド膜表面を
シリコン膜で覆い、第2の酸化熱処理ではこのシリコン
膜を酸化膜に変換するようにしたことを特徴としてい
る。
According to the present invention, an electrode wiring made of an amorphous metal silicide film is formed on a semiconductor substrate, and an oxide film is formed on the surface of the metal silicide film by a first oxidation heat treatment. Metal silicide film
Is crystallized to cover the electrode wiring on the semiconductor substrate.
After depositing the insulating film in an oxidizing atmosphere, the insulating film
While leaving at least a side portion of the electrode wiring,
The insulating film on the wiring is removed to remove the acid on the electrode wiring.
When the oxide film is exposed, the oxide film on the surface of the metal silicide film is once removed, and the second oxidation heat treatment is performed, the exposed metal silicide film surface is exposed to a silicon film prior to the second thermal oxidation treatment. The second oxidation heat treatment covers the silicon film into an oxide film.

【0010】[0010]

【作用】本発明によれば、結晶化した金属シリサイド膜
表面がシリコン膜で覆われた状態で酸化熱処理が行わ
れ、良質のシリコン酸化膜が形成されて金属シリサイド
膜が保護される。すなわち金属シリサイド膜自身の酸化
は防止され、従来のような異常酸化による電極配線の抵
抗増大や剥がれが生じることはなくなる。とくにシリコ
ン膜としてアモルファス・シリコン膜を用いると、膜堆
積時に結晶粒界が形成されることがなく、酸化開始温度
が低くまた酸化速度も大きいことから、安定でバリア性
の高い酸化膜が形成されて金属シリサイド膜自身の異常
酸化が確実に防止される。
According to the present invention, an oxidizing heat treatment is performed in a state where the crystallized metal silicide film surface is covered with the silicon film, and a high quality silicon oxide film is formed to protect the metal silicide film. That is, the oxidation of the metal silicide film itself is prevented, and the increase in the resistance and the peeling of the electrode wiring due to the abnormal oxidation as in the related art does not occur. In particular, when an amorphous silicon film is used as a silicon film, a crystal boundary is not formed at the time of film deposition, and an oxidation start temperature is low and an oxidation rate is high, so that a stable and high barrier oxide film is formed. Thus, abnormal oxidation of the metal silicide film itself is reliably prevented.

【0011】[0011]

【実施例】以下、図面を参照しながら実施例を説明す
る。図1(a) 〜(d) および図2(a) 〜(c) は、本発明の
一実施例に係るMOS型半導体装置の製造工程を示す断
面図である。
Embodiments will be described below with reference to the drawings. FIGS. 1A to 1D and 2A to 2C are cross-sectional views showing a process for manufacturing a MOS semiconductor device according to an embodiment of the present invention.

【0012】図1(a) に示すように、例えば比抵抗6Ω
・cmのp型シリコン基板11に、通常の工程にしたがっ
て素子分離酸化膜12を形成した後、熱酸化によって5
〜20nmの薄いゲート酸化膜13を形成する。次に図1
(b) に示すように、LPCVD法によって100nm程度
の多結晶シリコン膜14を堆積する。堆積した多結晶シ
リコン膜14には、As等の不純物を例えば、ドーズ量
1〜5×1015/cm2 で注入する。続いて、スパッタリ
ングによって300nm程度のWSix膜15を形成す
る。このスパッタリングはたとえば、WSi2.7 の合金
ターゲットを用いた、Arガス中でのDCマグネトロン
スパッタによる。堆積後の膜はX線回折によると非晶質
であることが確認された。
As shown in FIG. 1A, for example, a specific resistance of 6Ω
・ On a p-type silicon substrate 11 of cm, follow a normal process.
After forming an element isolation oxide film 12 by thermal oxidation,
A thin gate oxide film 13 of about 20 nm is formed. Next, FIG.
(b) As shown in FIG.
Is deposited. Deposited polycrystalline silicon
The recon film 14 is doped with an impurity such as As, for example, in a dose amount.
1-5 × 1015/cmTwo Inject with. Then, spatter
Forming a WSix film 15 of about 300 nm
You. This sputtering is, for example, an alloy of WSi2.7
DC magnetron in Ar gas using target
By sputtering. The film after deposition is amorphous according to X-ray diffraction
Was confirmed.

【0013】そして通常のフォトリソグラフィと反応性
イオンエッチングによって、WSix 膜15と多結晶シ
リコン14の積層膜をパターニングして、図1(c) に示
すようにゲート電極配線16を形成する。
Then, by laminating the WSix film 15 and the polycrystalline silicon 14 by ordinary photolithography and reactive ion etching, a gate electrode wiring 16 is formed as shown in FIG. 1C.

【0014】その後、例えばリンを加速電圧40ke
V,ドーズ量5×1013/cm2 の条件でイオン注入し、
酸化熱処理によって、図1(d) に示すように低濃度の浅
いソース,ドレイン拡散層18,19を形成する。この
酸化熱処理は例えば、900℃の乾燥酸素中で行う。こ
れにより、ゲート電極配線16の表面には10〜30nm
の後酸化膜17が形成される。またこの熱処理工程で、
WSix 膜15は正方晶の結晶(WSi2 )として結晶
化される。
Thereafter, for example, phosphorus is accelerated to 40 ke.
V, dose amount 5 × 10 13 / cm 2 Ion implantation under the conditions of
As shown in FIG. 1D, low-concentration shallow source / drain diffusion layers 18 and 19 are formed by the oxidation heat treatment. This oxidation heat treatment is performed, for example, in dry oxygen at 900 ° C. Thus, the surface of the gate electrode wiring 16 has a thickness of 10 to 30 nm.
A post oxide film 17 is formed. In this heat treatment process,
The WSix film 15 is crystallized as tetragonal crystals (WSi2).

【0015】その後、LDD構造を形成するために、C
VD法によってシリコン酸化膜20を150nm程度堆積
し、これを反応性イオンエッチングによってエッチング
して、図2(a) に示すようにゲート電極側壁に酸化膜2
0を残す。このとき、WSix 膜15の上面は露出す
る。
Then, C is formed to form an LDD structure.
A silicon oxide film 20 is deposited to a thickness of about 150 nm by the VD method and is etched by reactive ion etching to form an oxide film 2 on the side wall of the gate electrode as shown in FIG.
Leave 0. At this time, the upper surface of the WSix film 15 is exposed.

【0016】次いで、図2(b) に示すように、LPCV
D法によって約5nmのアモルファス・シリコン膜21を
堆積する。堆積条件は例えば、基板温度を550℃に保
ち、SiH4 ガスを100SCCM導入し、0.5Torr
〜1Torrの真空度で行なう。このとき堆積速度は、約4
nm/min である。
Next, as shown in FIG.
An amorphous silicon film 21 of about 5 nm is deposited by the D method. The deposition conditions are, for example, keeping the substrate temperature at 550 ° C., introducing 100 SCCM of SiH4 gas, and applying 0.5 Torr.
This is performed at a vacuum of about 1 Torr. At this time, the deposition rate is about 4
nm / min.

【0017】その後、酸化熱処理によって、シリコン膜
21をすべて酸化して、図2(c) に示すようにWSix
膜表面には約15nmの後酸化膜24を形成する。酸化膜
20の側壁残しの工程でソース,ドレイン領域の基板表
面が露出している場合も、ここがシリコン膜21で覆わ
れ、これが酸化膜に変換される。この酸化熱処理の条件
は例えば、乾燥酸素中で900℃,15分である。そし
て最後にAs等をイオン注入し活性化熱処理を行なっ
て、高濃度のソース,ドレイン拡散層22,23を形成
する。Asのイオン注入条件は例えば、加速電圧40k
eV,ドーズ量5×1015/cm2 とする。この実施例に
よれば、WSix 膜の異常酸化が生じることはなく、信
頼性の高い電極配線が得られる。
Thereafter, the silicon film 21 is entirely oxidized by an oxidizing heat treatment to form a WSix as shown in FIG.
A post-oxide film 24 of about 15 nm is formed on the film surface. Even when the substrate surface of the source and drain regions is exposed in the step of leaving the side wall of the oxide film 20, this is covered with the silicon film 21 and converted into an oxide film. The conditions of this oxidation heat treatment are, for example, 900 ° C. for 15 minutes in dry oxygen. Finally, As or the like is ion-implanted and activation heat treatment is performed to form high-concentration source / drain diffusion layers 22 and 23. As ion implantation conditions are, for example, an acceleration voltage of 40 k
eV, dose 5 × 10 15 / cm 2 And According to this embodiment, abnormal oxidation of the WSix film does not occur, and a highly reliable electrode wiring can be obtained.

【0018】本発明は上記実施例に限られるものではな
い。実施例では、LDD構造のMOS型半導体装置を製
造する場合を説明したが、金属シリサイド膜形成工程
と、それが結晶化された後に酸化処理工程が入る他のあ
らゆる半導体装置の製造に適用して同様の効果が得られ
る。また実施例では、Wのシリサイドを用いたが、その
他、Ti ,Zr ,Hf ,V,Nb ,Ta ,Cr ,Mo ,
Co ,Ni ,Rh ,Pd,Ir ,Pt 等のシリサイドを
用いて電極配線を形成する場合も同様に本発明を適用す
ることができる。
The present invention is not limited to the above embodiment. In the embodiment, the case where the MOS type semiconductor device having the LDD structure is manufactured has been described. Similar effects can be obtained. In the embodiment, the silicide of W is used, but Ti, Zr, Hf, V, Nb, Ta, Cr, Mo,
The present invention can be similarly applied to the case where an electrode wiring is formed using silicide such as Co, Ni, Rh, Pd, Ir, and Pt.

【0019】[0019]

【発明の効果】以上説明したように本発明によれば、金
属シリサイドを電極配線に用いる場合に、結晶化した後
に露出した金属シリサイド膜表面をシリコン膜で覆って
酸化熱処理を行なうことによって、金属シリサイドの異
常酸化を防止して、信頼性の高い電極配線を持つ半導体
装置を製造することができる。
As described above, according to the present invention, when a metal silicide is used for an electrode wiring, the metal silicide film surface exposed after crystallization is covered with a silicon film and an oxidation heat treatment is carried out. By preventing abnormal oxidation of silicide, a semiconductor device having highly reliable electrode wiring can be manufactured.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例に係るMOS型半導体装置の
製造工程前半を示す断面図。
FIG. 1 is a sectional view showing a first half of a manufacturing process of a MOS type semiconductor device according to one embodiment of the present invention.

【図2】同実施例の製造工程後半を示す断面図。FIG. 2 is a sectional view showing a latter half of the manufacturing process of the embodiment.

【図3】従来例のMOS型半導体装置の製造工程前半を
示す断面図。
FIG. 3 is a sectional view showing the first half of a manufacturing process of a conventional MOS type semiconductor device.

【図4】同従来例の製造工程後半を示す断面図。FIG. 4 is a sectional view showing the latter half of the manufacturing process of the conventional example.

【符号の説明】[Explanation of symbols]

11…シリコン基板、12…素子分離酸化膜、13…ゲ
ート酸化膜、14…多結晶シリコン膜、15…WSix
膜、16…ゲート電極配線、17…熱酸化膜、18,2
2…ソース拡散層、19,23…ドレイン拡散層、20
…CVD酸化膜、21…アモルファス・シリコン膜、2
4…熱酸化膜。
11 silicon substrate, 12 element isolation oxide film, 13 gate oxide film, 14 polycrystalline silicon film, 15 WSix
Film, 16: gate electrode wiring, 17: thermal oxide film, 18, 2
2 ... source diffusion layer, 19, 23 ... drain diffusion layer, 20
... CVD oxide film, 21 ... amorphous silicon film, 2
4: Thermal oxide film

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 29/43 H01L 29/62 G (58)調査した分野(Int.Cl.7,DB名) H01L 29/78 H01L 21/285 301 H01L 21/3205 H01L 21/336 H01L 21/768 H01L 29/43 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 identification code FI H01L 29/43 H01L 29/62 G (58) Investigated field (Int.Cl. 7 , DB name) H01L 29/78 H01L 21 / 285 301 H01L 21/3205 H01L 21/336 H01L 21/768 H01L 29/43

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】半導体基板上にアモルファス状態の金属シ
リサイド膜からなる電極配線を形成する工程と、 第1の酸化熱処理により前記金属シリサイド膜表面に酸
化膜を形成すると共に、前記金属シリサイド膜を結晶化
させる工程と、前記半導体基板上に前記電極配線を覆うように酸化性雰
囲気で絶縁膜を堆積する工程と、 前記絶縁膜を前記電極配線の少なくとも側部に残しつ
つ、前記電極配線上の前記絶縁膜を除去して前記電極配
線上の前記酸化膜を露出させる工程と、 前記金属シリサイド膜表面の酸化膜を除去する工程と、 露出した前記金属シリサイド膜表面にシリコン膜を堆積
する工程と、 第2の酸化熱処理により前記シリコン膜を酸化膜に変換
する工程と、 を備えたことを特徴とする半導体装置の製造方法。
A step of forming an electrode wiring made of an amorphous metal silicide film on a semiconductor substrate; forming an oxide film on the surface of the metal silicide film by a first oxidation heat treatment; and crystallizing the metal silicide film. Conversion
Let step and said oxidizing cut so as to cover the electrode wiring on the semiconductor substrate
Depositing an insulating film in an atmosphere, and leaving the insulating film on at least a side portion of the electrode wiring.
And removing the insulating film on the electrode wiring to remove the electrode wiring.
Exposing the oxide film on the line, removing the oxide film on the surface of the metal silicide film, depositing a silicon film on the exposed surface of the metal silicide film, and performing a second oxidation heat treatment on the silicon film Converting the semiconductor device into an oxide film.
JP2629891A 1991-02-20 1991-02-20 Method for manufacturing semiconductor device Expired - Fee Related JP2997554B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2629891A JP2997554B2 (en) 1991-02-20 1991-02-20 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2629891A JP2997554B2 (en) 1991-02-20 1991-02-20 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH04266031A JPH04266031A (en) 1992-09-22
JP2997554B2 true JP2997554B2 (en) 2000-01-11

Family

ID=12189432

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2629891A Expired - Fee Related JP2997554B2 (en) 1991-02-20 1991-02-20 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2997554B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283214A (en) * 1994-04-04 1995-10-27 Mitsubishi Electric Corp Manufacture of semiconductor device
JPH10173179A (en) * 1996-12-11 1998-06-26 Toshiba Corp Semiconductor device and its manufacture
JP2000277447A (en) * 1999-03-24 2000-10-06 Tokyo Electron Ltd Thermal process method for thin-film
JP2017022377A (en) 2015-07-14 2017-01-26 株式会社半導体エネルギー研究所 Semiconductor device

Also Published As

Publication number Publication date
JPH04266031A (en) 1992-09-22

Similar Documents

Publication Publication Date Title
US4425700A (en) Semiconductor device and method for manufacturing the same
JP2891092B2 (en) Method for manufacturing semiconductor device
JP2997554B2 (en) Method for manufacturing semiconductor device
JPH08321613A (en) Method of manufacturing semiconductor device
JP3003796B2 (en) Method of manufacturing MOS type semiconductor device
JP2792459B2 (en) Method for manufacturing semiconductor device
JPH0922999A (en) Mis type semiconductor device and manufacture thereof
JP3305490B2 (en) Method for manufacturing semiconductor device
JPH098298A (en) Manufacture of semiconductor device
JPS6226573B2 (en)
JPS59200418A (en) Manufacture of semiconductor device
JP3264922B2 (en) Method for manufacturing semiconductor device
JP2746100B2 (en) Method for manufacturing semiconductor device
JP3111516B2 (en) Semiconductor device and manufacturing method thereof
JPH09199717A (en) Manufacture of semiconductor device
JP2632159B2 (en) Method for manufacturing semiconductor device
JPH1126395A (en) Semiconductor device and its manufacture
JP3176796B2 (en) Method for manufacturing semiconductor device
JPH0730108A (en) Mis type semiconductor device and its manufacture
JP3050063B2 (en) Method for manufacturing semiconductor device
JPH08241930A (en) Manufacture of semiconductor device
JP3238804B2 (en) Method for manufacturing semiconductor device
JPH1027902A (en) Formation of gate electrode
JP2770811B2 (en) Method for manufacturing semiconductor device
JP2001102583A (en) Using silicon-germanium and other alloy as substitution gate for manufacturing mosfet

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091029

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees