JP2019096877A - 完全自己整合性ビアを形成するための選択的付着の方法 - Google Patents

完全自己整合性ビアを形成するための選択的付着の方法 Download PDF

Info

Publication number
JP2019096877A
JP2019096877A JP2018216745A JP2018216745A JP2019096877A JP 2019096877 A JP2019096877 A JP 2019096877A JP 2018216745 A JP2018216745 A JP 2018216745A JP 2018216745 A JP2018216745 A JP 2018216745A JP 2019096877 A JP2019096877 A JP 2019096877A
Authority
JP
Japan
Prior art keywords
substrate
gas
silanol
metal
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018216745A
Other languages
English (en)
Other versions
JP7287770B2 (ja
JP2019096877A5 (ja
Inventor
エヌ.タピリー カンダバラ
N Tapily Kandabara
エヌ.タピリー カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019096877A publication Critical patent/JP2019096877A/ja
Publication of JP2019096877A5 publication Critical patent/JP2019096877A5/ja
Application granted granted Critical
Publication of JP7287770B2 publication Critical patent/JP7287770B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Robotics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】選択的膜付着のための方法を提供する。【解決手段】方法は、誘電体材料100、101及びその上に酸化金属層107を有する金属層104を含む基板1を提供するステップと、基板を金属含有触媒層105で被覆するステップと、酸化金属層上の金属含有触媒層と共に金属層から酸化金属層を除去するアルコール溶液で基板を処理するステップと、誘電体材料上の金属含有触媒層上にSiO2膜を選択的に付着させるシラノールガスを含むプロセスガスに基板をある期間にわたって暴露するステップと、を含む。【選択図】図1B

Description

この出願は、2017年11月20日付で出願された米国仮特許出願第62/588,855号に関連し、それに対する優先権を主張し、そのすべての内容は参照により本明細書に援用される。この出願は、2018年6月15日付で出願された米国仮特許出願第62/685,847号に関連し、それに対する優先権を主張し、そのすべての内容は参照により本明細書に援用される。
本発明は、半導体処理及び半導体デバイスに関連し、より詳細には、表面前処理を用いた選択的膜付着のための方法に関連する。
デバイスのサイズが小さくなるにつれて、半導体デバイス製造における複雑さが増している。半導体デバイスを製造するためのコストも増しており、費用対効果の高い解決及び革新が必要とされている。より小さいトランジスタが製造されるにつれて、パターニングされたフィーチャの限界寸法(CD)又は解像度は、製造するのがより困難になってきている。薄膜の選択的付着が、高度にスケーリングされた技術ノードにおいてはパターニングの重要なステップである。異なる材料表面上に選択的膜堆積を提供する新たな付着方法が必要とされる。
本発明の実施形態は、表面前処理を用いた選択的膜付着のための方法を提供する。
一実施形態によれば、基板処理の方法であって、誘電体材料及び金属層を含む基板を提供するステップであって、金属層はその上に酸化金属層を有する、ステップと、基板を金属含有触媒層で被覆するステップと、酸化金属層上の金属含有触媒層と共に金属層から酸化金属層を除去するアルコール溶液で基板を処理するステップと、誘電体材料上の金属含有触媒層上にSiO膜を選択的に付着させるシラノールガスを含むプロセスガスに基板をある期間にわたって暴露するステップと、を含む方法。
発明の別の実施形態によれば、基板処理の方法であって、誘電体材料及び金属層を含む基板を提供するステップであって、該金属層はその上に酸化金属層を有する、ステップと、基板上に自己組織化単分子層(SAM)を形成する分子を含む反応ガスに基板を暴露するステップと、基板を付着ガスに暴露することによって、酸化金属層に比較して誘電体材料上に金属酸化物膜を選択的に付着させるステップと、金属酸化物膜上に選択的に酸化シリコン(SiO)膜を付着させるシラノールガスを含むプロセスガスに基板をある期間にわたって暴露するステップと、を含む方法。
発明の別の実施形態によれば、基板処理の方法であって、誘電体材料及び金属層を含む基板を提供するステップであって、該金属層はその上に酸化金属層を有する、ステップと、基板をプラズマ源によって励起された水素ガスに暴露するステップと、基板を付着ガスに暴露することによって、誘電体材料上に金属酸化物膜を選択的に付着させるステップと、金属酸化物膜上に選択的にSiO膜を付着させるシラノールガスを含むプロセスガスに基板をある期間にわたって暴露するステップと、を含む方法。
本発明の実施形態及びそれに付随する多くの利点のより完全な理解は、特に添付の図面と併せて考慮されるとき、以下の詳細な説明を参照することにより容易に明らかになるであろう。
本発明の一実施形態による、基板にSiO膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板にSiO膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板にSiO膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板にSiO膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。 本発明の一実施形態による、基板をHガスで前処理するためのRLSA(登録商標)プラズマシステムを含むプラズマ処理システムの概略図である。 本発明の一実施形態による、基板をHガスで前処理するためのRLSA(登録商標)プラズマシステムを含むプラズマ処理システムの概略図である。 本発明の一実施形態による、基板をHガスで前処理するためのRLSA(登録商標)プラズマシステムを含むプラズマ処理システムの概略図である。
本発明の実施形態は、金属層に対して誘電体材料上に酸化シリコン膜及び誘電体積層膜を選択的に付着させるための効果的な表面前処理のための方法を提供する。
図1A〜図1Dは、本発明の一実施形態による、基板にSiO膜を選択的に付着させる方法を概略断面図で示す。図1Aでは、パターニングされた基板1は、誘電体材料100と、誘電体材料100上の誘電体材料101と、誘電体材料100にはめ込まれた(inlaid)金属層104と、金属層104上の酸化金属層107と、誘電体材料100から金属層104を分離する拡散バリア層102とを含む。金属層104は、例えば、銅(Cu)、ルテニウム(Ru)、コバルト(Co)、又はタングステン(W)を含むことができる。酸化金属層107は、例えば、酸化Cu、酸化Ru、酸化Co、又は酸化Wを含むことができる。誘電体材料100は、例えば、low−k誘電体材料、SiO、又は金属含有誘電体材料を含むことができる。例えば、金属含有誘電体材料は、金属酸化物、金属窒化物、又は金属酸窒化物を含むことができる。いくつかの例では、拡散バリア層102は、TaN、TiN、TaSiN、又はTiSiNを含むことができる。誘電体材料101は、図1Aに示すように基板1を平坦化するための化学機械平坦化(CMP)プロセスにおけるエッチング停止層として使用してよい。誘電体材料101は、SiCN又はSiOCを含んでよい。一例では、誘電体材料101は、誘電体材料100と同じ材料を含んでよい。酸化金属層107は、プロセスガス又は処理システムにおけるバックグラウンドガスからのO及びHOの暴露を含む、基板処理中の金属層104の酸素含有ガスへの暴露によって形成してよい。一例では、酸化金属層107は、CMPプロセス中又はその後に形成してよい。一例では、酸化金属層107は、金属層104を露出させる開口領域と不完全である(incomplete with)ことがある。
本発明の一実施形態によれば、酸化金属層107又は金属層104と比較して誘電体材料101上のSiO膜の選択的な付着のための方法が提供される。酸化金属層107の存在は、付着選択性を低下させることによって、選択的SiO膜付着に影響する可能性がある。したがって、金属層104から酸化金属層107を効率的に除去する基板前処理が提供され、ここで基板前処理は、完全自己整合性ビア及び他の凹フィーチャを形成するための選択的SiO膜付着方法に統合することができる。
一実施形態によれば、図1Aの基板1は、金属含有触媒層105で被覆される。図1Bに概略的に示すように、酸化金属層107上の金属含有触媒層105(「X」)の表面密度は、誘電体材料100上よりも低くてよい。発明のいくつかの実施形態では、アルミニウム(Al)、チタン(Ti)、又はアルミニウムとチタンの両方を含んでよい。金属含有触媒層105は、Al、Al、AlN、AlON、Al含有前駆体、Al含有合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO、TiON、TiN、Ti含有前駆体、Ti含有合金、及びこれらの組み合わせからなる群から選択してよい。金属含有触媒層105は、基板1を金属含有前駆体蒸気、任意で酸素含有ガス、及び/又は窒素含有ガスに暴露することによって形成してもよい。暴露は、約1単分子層(monolayer)の厚さである金属含有触媒層105を吸着する金属を含有するガスパルスに基板1を暴露することによって進行してよい。一例では、金属含有触媒層105は、吸着金属含有前駆体、例えば、AlMeの層を含んでよい。
本発明の実施形態は、多種多様なAl含有前駆体を利用することができる。例えば、多くのアルミニウム前駆体は次式を有する:
AlL
ここで、L、L、Lは個々のアニオン性配位子であり、Dは、xが0、1、又は2とすることができる中性ドナー配位子である。各L、L、L配位子は、アルコキシド、ハライド、アリールオキシド、アミド、シクロペンタジエニル、アルキル、シリル、アミジネート、β−ジケトネート、ケトイミネート、シラノエート、及びカルボキシレートの群から個別に選択してよい。D配位子は、エーテル、フラン、ピリジン、ピロール、ピロリジン、アミン、クラウンエーテル、グライム、及びニトリルの群から選択してよい。
アルミニウム前駆体の他の例としては、AlMe、AlEt、AlMeH、[Al(OsBu)、Al(CHCOCHCOCH、AlCl、AlBr、AlI、Al(OiPr)、[Al(NMe、Al(iBu)Cl、Al(iBu)、Al(iBu)H、AlEtCl、EtAl(OsBu)、及びAl(THD)を含む。
本発明の実施形態は、多種多様なTi含有前駆体を利用してよい。例としては、Ti(NEt(TDEAT)、Ti(NMeEt)(TEMAT)、Ti(NMe(TDMAT)を含む「Ti−N」分子内結合を有するTi含有前駆体を含む。他の例としては、Ti(COCH)(η−CCl、Ti(η−C)Cl、Ti(η−C)Cl、Ti(η−CCl、Ti(η−C(CH)Cl、Ti(CH)(η−CCl、Ti(η−CCl、Ti((η−C(CHCl、Ti((η−C(CHCl、Ti(η5−C5H5)(μ−Cl)、Ti(η5−C(CO)、Ti(CH(η−C)、Ti(CH(η−C、Ti(CH、Ti(η−C)(η−C)、Ti(η−C)(η−C)、Ti(C(η−C、Ti((C(η−H)、Ti(η−C(CH、Ti(η−C(CH(H)、及びTi(CH(η−C(CHを含む「Ti−C」分子内結合を含むTi含有前駆体を含む。TiClは、「Ti−ハロゲン」結合を含むハロゲン化チタン前駆体の一例である。
本処理方法は、金属層104から酸化金属層107及び金属含有触媒層105を除去する、アルコール溶液を用いた図1Bの基板1を処理するステップをさらに含む。図1Cにこれを概略的に示し、ここで、金属含有触媒層105は誘電体材料101上のみに残る。本発明者らは、アルコール溶液が基板1と接触すると、下にある金属層104を再酸化することなく酸化金属層107を効果的にリフトオフし、さらに、そのリフトオフプロセスにおいて金属含有触媒層105を除去することを発見した。これは、金属含有触媒層105が、除去される酸化金属層107と結合されているためである。一例では、アルコール溶液を室温で基板1と接触させてよい。アルコール溶液は、1種以上のアルコールからなってもよく、代替的には、アルコール溶液は1種以上のアルコールと非酸化溶媒(non-oxidizing solvent)からなってもよい。アルコール溶液は、化学式R−OHを有する任意のアルコールを含むことができる。一つのクラスのアルコールは第一級アルコールであり、そのうちメタノール及びエタノールが最も単純なメンバである。他のクラスのアルコールは第二級アルコール、例えば、イソプロピルアルコール(IPA)である。
本処理方法は、金属層104上ではなく誘電体材料101上の金属含有触媒層105上に自己制限的なやり方でSiO膜106を選択的に付着させるシラノールガスを含有するプロセスガスに基板1をある期間にわたって暴露するステップをさらに含む。図1Dにこれを概略的に示す。
金属含有触媒層105は、シラノールガスからのSiO膜106の選択的付着に触媒作用を及ぼし、この触媒効果は、付着されたSiO膜106が約15nm以下の厚さになるまで観察され、その後、SiO付着は、基板1上に触媒部位が残っていないときに自動的に停止する。金属層104上に実質的なSiO付着をもたらさないプロセスガスへの暴露がある期間にわたって実行されてよい。本発明の実施形態によれば、基板1は、いかなる酸化及び加水分解剤なしで、シラノールガスを含むプロセスガスに暴露される。一例では、シラノールガスは、トリス(tert−ペントキシ)シラノール(TPSOL)、トリス(tert−ブトキシ)シラノール、及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択してよい。
プロセスガスは、アルゴンなどの不活性ガスをさらに含んでよい。一例では、プロセスガスは、シラノールガスと不活性ガスからなってよい。暴露中、基板温度は約150℃以下としてよい。別の実施形態では、基板温度は約120℃以下としてよい。さらに別の実施形態では、基板温度は約100℃以下としてよい。
本発明の実施形態によれば、基板1を金属含有触媒層105で被覆するステップと、金属層104から金属含有触媒層105を除去する、アルコール溶液で基板を処理するステップと、シラノールガスを含むプロセスガスに基板1を暴露するステップは、SiO膜106の厚さを増加させるために1回以上繰り返されてよい。図1Dに示すように、SiO膜106が、金属層104の上方に完全自己整合性ビア112を形成する。完全自己整合性ビア112は、ホール又はトレンチとも呼ばれてよい。
図2A〜図2Eは、本発明の一実施形態による、基板上に誘電体積層膜を選択的に付着させる方法を概略断面図で示す。図1Aの基板1が図2Aの基板2として再現されている。一実施形態によれば、図2Aの基板2は、基板2上に自己組織化単分子層(SAM:self-assembled monolayer)を形成することが可能な分子を含む反応ガスへの暴露によって前処理される。図2Bは、酸化金属層107上のSAM109(「Y」)を概略的に示す。SAMは、吸着によって基板表面上に自発的に形成され、多かれ少なかれ大きな規則性のあるドメイン(ordered domain)に組織化される分子集合体である。SAMは、頭部基(head group)、尾部基(tail group)、及び官能性末端基(functional end group)を有する分子を含むことができ、SAMは、室温又は室温以上で気相から頭部基を基板上に化学吸着させた後、続いて尾部基のゆっくりとした組織化によって生成される。最初に、表面上の小さな分子密度で、吸着分子は分子の規則性のない塊を形成するか、又は規則的な二次元の「横たわっている相(lying down phase)」を形成し、より高い分子カバレッジで、数分から数時間の期間にわたって、基板表面上に三次元の結晶又半結晶構造を形成し始める。頭部基は基板上でまとまって集合するが、尾部基は基材から遠く離れて集合する。
一実施形態によれば、SAMを形成する分子の頭部基は、チオール、シラン、又はホスホネートを含むことができる。シランの例としては、C、H、Cl、F、及びSi原子、又はC、H、Cl、及びSi原子を含む分子を含む。分子の非限定的な例としては、ペルフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、ペルフルオロデカンチオール(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)及びtert−ブチル(クロロ)ジメチルシラン((CHCSi(CHCl))。
基板2上にSAM109を形成する前処理は、金属層表面又は酸化金属層表面と比較して誘電体材料表面上に引き続き選択的金属酸化物付着を可能にするように使用されてよい。この選択的付着は、金属層表面及び酸化金属層表面上への金属酸化物付着を防止又は減少させながら、誘電体材料表面上に金属酸化物膜を選択的に付着させるための方法を提供する。SAM密度は、誘電体材料101上と比較して酸化金属層107上の方が高いと推測される。これは、おそらくは酸化金属層107上での分子のより高い初期規則性による。
前処理に続いて、基板2を付着ガスに暴露することによって、酸化金属層107上と比較して誘電体材料101上に金属酸化物膜111が選択的に付着する。これを図2Cに概略的に示す。一例では、金属酸化物膜111は、HfO、ZrO、又はAlを含んでよい。金属酸化物膜111は、例えば、ALD又はプラズマ増強ALD(PEALD)によって付着させてよい。いくつかの例では、金属酸化物膜111は、金属含有前駆体と酸化剤(例えば、HO、H、プラズマ励起O又はO)の交互暴露を用いたALDによって付着させてよい。
本処理方法は、金属酸化物膜111上にSiO膜113を選択的に付着させるシラノールガスを含むプロセスガスに基板2をある期間にわたって暴露するステップをさらに含む。これを図2Dに概略的に示す。一例では、金属酸化物膜111とSiO膜113とを含む積層体の実効誘電率は約7未満である。
本発明の実施形態によれば、金属酸化物膜111は、シラノールガスからのSiO膜113の選択的付着に触媒作用を及ぼし、この触媒効果は、付着したSiO膜113が約15nm以下の厚さになるまで観察され、次いで、SiOの付着は自動的に停止する。酸化金属層107上に実質的なSiO付着をもたらさないプロセスガスへの暴露がある期間にわたって実行されてよい。本発明の実施形態によれば、基板2は、いかなる酸化及び加水分解剤なしで、シラノールガスを含むプロセスガスに暴露される。シラノールガスは、トリス(tert−ペントキシ)シラノール(TPSOL)、トリス(tert−ブトキシ)シラノール、及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択してよい。
いくつかの例では、プロセスガスは、アルゴンなどの不活性ガスをさらに含んでよい。一実施形態では、プロセスガスはシラノールガス及び不活性ガスからなってもよい。さらに、一実施形態によれば、暴露中、基板温度は約150℃以下としてよい。別の実施形態では、基板温度は約120℃以下としてもよい。さらに別の実施形態では、基板温度は約100℃以下としてもよい。
その後、SAM109は、プラズマ源によって励起された水素ガス(H)への暴露によって、プラズマ源によって励起された酸素ガス(O)への暴露によって、又はこれらの組合せによって、約300℃より高い温度での熱処理によって基板2から除去してよい。図2Eに示すように、SiO膜113及び金属酸化物膜111は金属層104の上方に完全自己整合性ビアを形成する。完全自己整合性ビアは、ホール又はトレンチとも呼ばれ得る。
別の実施形態によれば、SAM前処理は、酸化金属層107上又は金属層104上に−H終端(「Y」)(termination)を形成する、プラズマ源によって励起された水素ガス(H)への暴露によって置き換えられてもよい。これを図2Bに概略的に示す。一実施形態によれば、化学酸化物除去(COR)プロセスを水素ガス暴露の前に実施して、酸化金属層107を基板2から除去してよい。CORプロセスは、基板2をHFガス及びNHガスに暴露するステップと、熱処理を実行するステップとを含む。CORプロセスに続いて、水素ガス暴露は、金属層104上に−H終端を形成する。酸化金属層107上又は金属層104上の−H終端は、最初はそれらの層上にほとんど又は全く膜付着が観察されない長い潜伏期間(incubation periods)を提供する可能性がある。対照的に、誘電体材料101のヒドロキシル終端(−OH)表面では、ほとんど又は全く潜伏期間なしに膜付着が観察される。
プラズマ源によって励起された水素ガス(H)への暴露の後、基板2は、図2C〜図2Dを参照して上述したようにさらに処理されて、金属酸化物膜111上にSiO膜113を含む積層体を形成してよい。一例では、誘電体材料101上への金属酸化物膜111の付着選択性を向上させるために、金属酸化物膜111は、プラズマ源により励起された水素ガス(H)への暴露によって周期的に中断されるALDプロセスによって付着させてよい。
図3は、発明の一実施形態による、基板をHガスで前処理するためのRLSAプラズマシステムを含むプラズマ処理システムの概略図である。この図に示すように、プラズマ処理システム10は、プラズマ処理チャンバ20(真空チャンバ)と、アンテナ部57と、基板ホルダ21とを含む。プラズマ処理チャンバ20の内部は、大まかにプラズマガス供給部30よりも下に位置するプラズマ発生領域R1と、基板ホルダ21側のプラズマ拡散領域R2とに区画される。プラズマ発生領域R1で発生するプラズマは、数電子ボルト(eV)の電子温度を有することができる。成膜処理が行われるプラズマ拡散領域R2にプラズマが拡散するときに、基板ホルダ21近傍のプラズマの電子温度は約2eVよりも低い値に低下する。基板ホルダ21は、プラズマ処理チャンバ20の底部中央に配置されており、基板Wを載置するための載置部として機能する。基板ホルダ21内では、絶縁部材21a、冷却ジャケット21b、及び基板温度を制御するための温度制御部(図示せず)が設けられている。
プラズマ処理チャンバ20の上部は開口している。プラズマガス供給部30は、基板ホルダ21に対向して配置され、Oリングなどの図示しないシール部材を介してプラズマ処理チャンバ20の上部でシールされている。誘電体ウィンドウとしても機能し得るプラズマガス供給部30は、酸化アルミニウム、石英などの材料でできており、実質的なディスク形状を有するその平面は、基板ホルダ21に面して設けられている。複数のガス供給孔31が、プラズマガス供給部30の平面に、基板ホルダ21に対向して設けられている。複数のガス供給孔31は、ガス流路32を介してプラズマガス供給ポート33に連通している。プラズマガス供給源34、45、46、47は、プラズマガス供給ポート33に、Hガス及びArガスなどのプラズマガスを提供する。プラズマガスは、次いで、複数のガス供給孔31を介してプラズマ発生領域R1に均一に供給される。
プラズマ処理システム10は、プラズマ発生領域R1とプラズマ拡散領域R2との間に、プラズマ処理チャンバ20の略中央に位置するプロセスガス供給部40をさらに含む。プロセスガス供給部40は、マグネシウム(Mg)を含むアルミニウム合金、ステンレス鋼などの導電材料からできている。プラズマガス供給部30と同様に、プロセスガス供給部40の平面に、複数のガス供給孔41が設けられている。プロセスガス供給部40の平面は、基板ホルダ21と対向して位置決めされており、ディスク形状を有する。
プラズマ処理チャンバ20はさらに、プラズマ処理チャンバ20の底部に接続された排気ライン26と、排気ラインを圧力コントローラバルブ28と真空ポンプ29とに接続する真空ライン27とを含む。圧力コントローラバルブ28は、プラズマ処理チャンバ20内で所望のガス圧力を達成するために使用してよい。
プロセスガス供給部40の平面図を図4に示す。この図に示すように、プロセスガス供給部40内にはシャワープレートとも呼ばれる格子状ガス流路42が形成されている。格子状ガス流路42は、上下方向に複数形成されている複数のガス供給孔41の上端に連通している。複数のガス供給孔41の下端は、基板ホルダ21に面する開口である。複数のガス供給孔41は、格子状ガス流路42を介してプロセスガス供給ポート43と連通している。
さらに、複数の開口44がプロセスガス供給部40を上下方向に貫通するように、複数の開口44がプロセスガス供給部40に形成されている。複数の開口44は、プラズマガス、例えば、Hガス及びオプションでArガスを、基板ホルダ21側のプラズマ拡散領域R2内に通過させる。図4に示すように、複数の開口44は、隣接するガス流路42の間に形成されている。プロセスガスは、例えば別々のプロセスガス供給源45、46からプロセスガス供給ポート43に供給される。いくつかの実施形態によれば、H及びArの任意の組合せは、プロセスガス供給部40を通じて、及び/又はプラズマガス供給ポート33を通じて流されてよい。さらに、例えば、複数の開口44は、基板Wの周縁部を超えてプロセスガス供給部40上の領域を占有してよい。
プロセスガスは、格子状ガス流路42を流れ、複数のガス供給孔41を介してプラズマ拡散領域R2に均一に供給される。プラズマ処理システム10は、4つのバルブ(V1〜V4)と、プラズマ処理チャンバ20内へのガスの供給をそれぞれ制御するための4つの流量制御装置(MFC1〜MFC4)と、をさらに含む。
外部マイクロ波発生器55は、同軸導波管54を介して所定の周波数、例えば2.45GHzのマイクロ波信号(又はマイクロ波エネルギー)をアンテナ部57に提供する。同軸導波管54は、内部導体54B及び外部導体54Aを含んでよい。外部マイクロ波発生器55からのマイクロ波は、プラズマ発生領域R1においてプラズマガス供給部30の直下に電界を発生させ、今度はプラズマ処理チャンバ20内のプロセスガスの励起を引き起こす。
図5は、アンテナ部57の部分断面図を示す。この図に示すように、アンテナ部57は、平面アンテナ本体51と、ラジアルラインスロット板52と、誘電体板53とを含み、マイクロ波の波長を短くする。平面アンテナ本体51は、開口底面を有する円形状を有している。ラジアルラインスロット板52は、平面アンテナ本体51の開口底面を閉じるように形成されている。平面アンテナ本体51及びラジアルラインスロット板52は、扁平な中空円形導波管を有する導電材料でできている。
ラジアルラインスロット板52には、円偏波を発生させるための複数のスロット56が設けられている。複数のスロット56は、周方向に沿って同心円パターン又は螺旋パターンで、僅かな隙間をあけて略T字形状で配置されている。スロット56a、56bは互いに直交しているため、ラジアルラインスロット板52からは直交する2つの偏光成分を含む円偏波が平面波として放射される。
誘電体板53は、ラジアルラインスロット板52と平面アンテナ本体51との間に配置され、低損失の誘電体材料、例えば、酸化アルミニウム(Al)又は窒化シリコン(Si)で形成されている。ラジアルラインスロット板52は、シール部材(図示せず)を用いてプラズマ処理チャンバ20に取り付けられ、ラジアルラインスロット板52がカバープレート23と密接するようにする。カバープレート23はプラズマガス供給部30の上面に配置され、酸化アルミニウム(Al)のようなマイクロ波透過誘電体材料から形成される。
外部高周波電源22は、整合回路網25(matching network)を介して基板ホルダ21に電気的に接続されている。外部高周波電源22は、基板Wに引き込まれるイオンエネルギーを制御するために所定の周波数、例えば、13.56MHzのRFバイアス電力を生成する。電源22は、RFバイアス電力のパルスを任意に提供するようにさらに構成されており、パルス周波数は1Hzよりも高く、例えば2Hz、4Hz、6Hz、8Hz、10Hz、20Hz、30Hz、50Hz、又はそれ以上にすることができる。電源22は、0W〜100W、100W〜200W、200W〜300W、300W〜400W、又は400W〜500WとすることができるRFバイアス電力を供給するように構成されている。当業者であれば、電源22の電力レベルは処理される基板のサイズに関連すると理解するものであると留意されたい。例えば、300mmのSiウェハは、処理中、200mmのウェハよりも大きな電力消費を必要とする。プラズマ処理システム10は、約−5kV〜約+5kVのDC電圧バイアスを基板ホルダ21に供給することが可能なDC電圧発生器35をさらに含む。
プラズマ暴露中、プラズマガス供給部30を用いてプラズマガス、例えば、Hガス及び任意でArガスをプラズマ処理チャンバ20内に導入してよい。一方、プロセスガスは、プロセスガス供給部40を用いてプラズマ処理チャンバ20に導入してよい。
表面前処理を用いた選択的膜付着のための方法が、様々な実施形態において開示されている。本発明の実施形態の前述の説明は、例示及び説明を目的として提示されている。網羅的であること、又は発明を開示された正確な形態に限定することを意図していない。この説明及び以下の特許請求の範囲は、説明目的のためだけに使用され、限定として解釈されるべきではない用語を含む。当業者は、上記の教示に照らして多くの修正形態及びバリエーションが可能であると理解することができる。当業者であれば、図に示した様々な構成要素に対する様々な等価の組合せ及び置換を理解するものである。したがって、発明の範囲は、この詳細な説明によってではなく、むしろ添付の特許請求の範囲によって限定されることを意図している。

Claims (20)

  1. 基板処理の方法であって、
    誘電体材料及び金属層を含む基板を提供するステップであって、該金属層はその上に酸化金属層を有する、ステップと、
    前記基板を金属含有触媒層で被覆するステップと、
    前記金属層から前記酸化金属層及び前記酸化金属層上の前記金属含有触媒層を除去するアルコール溶液で前記基板を処理するステップと、
    前記誘電体材料上の金属含有触媒層上にSiO膜を選択的に付着させるシラノールガスを含むプロセスガスに前記基板をある期間にわたって暴露するステップと、を含む方法。
  2. 前記金属層はCu、Ru、Co又はWを含み、前記酸化金属層は酸化Cu、酸化Ru、酸化Co又は酸化Wを含む、請求項1に記載の方法。
  3. 前記金属含有触媒層は、Al、Ti又はAlとTiの両方を含む、請求項1に記載の方法。
  4. 前記シラノールガスを含む前記プロセスガスに前記基板を暴露するステップは、約150℃以下の基板温度で任意の酸化及び加水分解剤なしで実行される、請求項1に記載の方法。
  5. 前記シラノールガスは、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項1に記載の方法。
  6. 前記誘電体材料上のSiO膜の厚さを増加させるために、前記基板を被覆するステップ、アルコール溶液で前記基板を処理するステップ、及びシラノールガスを含むプロセスガスに前記基板を暴露するステップを少なくとも1回繰り返すステップをさらに含む、請求項1に記載の方法。
  7. 基板処理の方法であって、
    誘電体材料及び金属層を含む基板を提供するステップであって、該金属層はその上に酸化金属層を有する、ステップと、
    前記基板上に自己組織化単分子層(SAM)を形成する分子を含む反応ガスに前記基板を暴露するステップと、
    前記基板を付着ガスに暴露することによって、前記酸化金属層に対して前記誘電体材料上に金属酸化物膜を選択的に付着させるステップと、
    前記金属酸化物膜上に選択的にSiO膜を付着させるシラノールガスを含むプロセスガスに前記基板をある期間にわたって暴露するステップと、を含む方法。
  8. 前記分子が、頭部基、尾部基及び官能性末端基を含み、前記頭部基はチオール、シラン又はホスホネートを含む、請求項7に記載の方法。
  9. 前記分子が、ペルフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、ペルフルオロデカンチオール(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)、又はtertブチル(クロロ)ジメチルシラン((CHCSi(Cl)(CH)を含む、請求項7に記載の方法。
  10. 前記金属酸化物膜は、HfO、ZrO、又はAlを含む、請求項7に記載の方法。
  11. 前記シラノールガスを含む前記プロセスガスに前記基板を暴露するステップは、約150℃以下の基板温度で任意の酸化及び加水分解剤なしで実行される、請求項7に記載の方法。
  12. 前記シラノールガスは、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項7に記載の方法。
  13. 前記誘電体材料上の金属酸化物膜及びSiO膜の厚さを増加させるために、前記基板を反応ガスに暴露するステップ、金属酸化物膜を選択的に付着させるステップ、及びシラノールガスを含むプロセスガスに前記基板を暴露するステップを少なくとも1回繰り返すステップをさらに含む、請求項7に記載の方法。
  14. 基板処理の方法であって、
    誘電体材料及び金属層を含む基板を提供するステップであって、該金属層はその上に酸化金属層を有する、ステップと、
    前記基板をプラズマ源によって励起された水素(H)ガスに暴露するステップと、
    前記基板を付着ガスに暴露することによって、前記誘電体材料上に金属酸化物膜を選択的に付着させるステップと、
    前記金属酸化物膜上に選択的にSiO膜を付着させるシラノールガスを含むプロセスガスに前記基板をある期間にわたって暴露するステップと、を含む方法。
  15. 前記基板を前記プラズマ源によって励起された前記水素ガスに暴露するステップは、前記酸化金属層上に水素終端を形成する、請求項14に記載の方法。
  16. 前記金属層から前記酸化金属層を除去するステップと、
    前記基板を前記付着ガスに暴露することによって、前記金属層と比較して前記誘電体材料上に金属酸化物膜を選択的に付着させるステップと、をさらに含む、請求項14に記載の方法。
  17. 前記除去するステップは、化学酸化物除去(COR)プロセスを含む、請求項14に記載の方法。
  18. 前記金属酸化物膜は、HfO、ZrO又はAlを含む、請求項14に記載の方法。
  19. 前記シラノールガスを含むプロセスガスに前記基板を暴露するステップは、約150℃以下の基板温度で任意の酸化及び加水分解剤なしで実行される、請求項14に記載の方法。
  20. 前記シラノールガスは、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項14に記載の方法。
JP2018216745A 2017-11-20 2018-11-19 完全自己整合性ビアを形成するための選択的付着の方法 Active JP7287770B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762588855P 2017-11-20 2017-11-20
US62/588,855 2017-11-20
US201862685847P 2018-06-15 2018-06-15
US62/685,847 2018-06-15

Publications (3)

Publication Number Publication Date
JP2019096877A true JP2019096877A (ja) 2019-06-20
JP2019096877A5 JP2019096877A5 (ja) 2022-01-04
JP7287770B2 JP7287770B2 (ja) 2023-06-06

Family

ID=66533236

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018216745A Active JP7287770B2 (ja) 2017-11-20 2018-11-19 完全自己整合性ビアを形成するための選択的付着の方法
JP2018217623A Active JP7193990B2 (ja) 2017-11-20 2018-11-20 完全自己整合性ビアを形成するための選択的膜付着の方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018217623A Active JP7193990B2 (ja) 2017-11-20 2018-11-20 完全自己整合性ビアを形成するための選択的膜付着の方法

Country Status (4)

Country Link
US (3) US10586734B2 (ja)
JP (2) JP7287770B2 (ja)
KR (3) KR102491746B1 (ja)
TW (3) TWI798290B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021060109A1 (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP2021052071A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
CN113053727A (zh) * 2019-12-27 2021-06-29 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
WO2021132163A1 (ja) * 2019-12-27 2021-07-01 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021125607A (ja) * 2020-02-06 2021-08-30 東京エレクトロン株式会社 成膜方法
WO2022059538A1 (ja) * 2020-09-17 2022-03-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置
WO2023243406A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 成膜方法及び成膜装置
US11923193B2 (en) 2020-02-27 2024-03-05 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2024070825A1 (ja) * 2022-09-28 2024-04-04 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US11993842B2 (en) 2020-04-08 2024-05-28 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
TW201946113A (zh) * 2018-04-27 2019-12-01 日商東京威力科創股份有限公司 用於先進接觸件中之覆蓋層形成的區域選擇性沉積
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
TW202113977A (zh) 2019-06-12 2021-04-01 日商東京威力科創股份有限公司 半導體裝置的平坦化
KR20220034785A (ko) * 2019-07-18 2022-03-18 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측면 필름 성장의 완화 방법
JP2021057563A (ja) * 2019-09-24 2021-04-08 東京エレクトロン株式会社 成膜方法
US20210134669A1 (en) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for metal interconnect
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11915973B2 (en) 2019-12-10 2024-02-27 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20230024298A (ko) * 2020-06-17 2023-02-20 도쿄엘렉트론가부시키가이샤 표면 세정 공정을 이용한 영역 선택적 증착 방법
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
KR20230135603A (ko) * 2021-02-08 2023-09-25 도쿄엘렉트론가부시키가이샤 액상 컨포멀 실리콘 산화물 스핀-온 증착
JP2024019774A (ja) * 2022-08-01 2024-02-14 東京エレクトロン株式会社 成膜方法および成膜装置
CN115418629B (zh) * 2022-08-17 2024-01-12 杭州富芯半导体有限公司 薄膜沉积的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2017195371A (ja) * 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP4448356B2 (ja) * 2004-03-26 2010-04-07 富士通株式会社 半導体装置およびその製造方法
MXPA06014584A (es) * 2004-06-22 2007-12-04 Sunnen Products Co Aparato y sistema de recorrido de servo.
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
CN101646468A (zh) * 2006-10-10 2010-02-10 西洛诺瓦生物科学公司 包含硅酮和特定聚磷氮烯的组合物和装置
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2010010686A (ja) 2008-06-27 2010-01-14 Asm America Inc 高成長率の二酸化ケイ素の堆積
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
US8907881B2 (en) * 2010-04-09 2014-12-09 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and method for driving the same
GB2500550A (en) * 2010-12-16 2013-09-25 Sensor Innovations Inc Electrochemical sensors
EP3058115A1 (en) * 2013-10-15 2016-08-24 Veeco ALD Inc. Fast atomic layer deposition process using seed precursor
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
JP6213278B2 (ja) * 2014-02-07 2017-10-18 ウシオ電機株式会社 パターン形成体の製造方法
GB201415119D0 (en) * 2014-08-27 2014-10-08 Ibm Method for fabricating a semiconductor structure
US20160064275A1 (en) 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US10062564B2 (en) * 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US20170092533A1 (en) 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9981286B2 (en) * 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10068764B2 (en) 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10453749B2 (en) 2017-02-14 2019-10-22 Tokyo Electron Limited Method of forming a self-aligned contact using selective SiO2 deposition
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10468585B1 (en) * 2018-05-31 2019-11-05 International Business Machines Corporation Dual function magnetic tunnel junction pillar encapsulation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2017195371A (ja) * 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021060109A1 (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP2021052071A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
WO2021060111A1 (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP7365898B2 (ja) 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium
JP2021106242A (ja) * 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021108335A (ja) * 2019-12-27 2021-07-29 東京エレクトロン株式会社 成膜方法及び成膜装置
CN113053727B (zh) * 2019-12-27 2024-04-12 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
WO2021132163A1 (ja) * 2019-12-27 2021-07-01 東京エレクトロン株式会社 成膜方法及び成膜装置
CN113053727A (zh) * 2019-12-27 2021-06-29 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7353200B2 (ja) 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
JP2021125607A (ja) * 2020-02-06 2021-08-30 東京エレクトロン株式会社 成膜方法
US11923193B2 (en) 2020-02-27 2024-03-05 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US11993842B2 (en) 2020-04-08 2024-05-28 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
WO2022059538A1 (ja) * 2020-09-17 2022-03-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置
WO2023243406A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024070825A1 (ja) * 2022-09-28 2024-04-04 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Also Published As

Publication number Publication date
TW201930626A (zh) 2019-08-01
JP2019096881A (ja) 2019-06-20
US20190164749A1 (en) 2019-05-30
US10586734B2 (en) 2020-03-10
KR102491746B1 (ko) 2023-01-25
US10847363B2 (en) 2020-11-24
KR102523731B1 (ko) 2023-04-19
US20190157149A1 (en) 2019-05-23
JP7287770B2 (ja) 2023-06-06
KR20220132493A (ko) 2022-09-30
TW201930625A (zh) 2019-08-01
KR20190058343A (ko) 2019-05-29
KR102549289B1 (ko) 2023-06-29
KR20190058342A (ko) 2019-05-29
TWI798290B (zh) 2023-04-11
TW202328473A (zh) 2023-07-16
TWI788463B (zh) 2023-01-01
US11658068B2 (en) 2023-05-23
US20210074584A1 (en) 2021-03-11
JP7193990B2 (ja) 2022-12-21

Similar Documents

Publication Publication Date Title
US11658068B2 (en) Method of selective deposition for forming fully self-aligned vias
US10465294B2 (en) Oxide and metal removal
TWI674628B (zh) 氮化鈦移除
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
US9412581B2 (en) Low-K dielectric gapfill by flowable deposition
TWI397110B (zh) 非共形層的形成方法及裝置
US9748093B2 (en) Pulsed nitride encapsulation
JP6010387B2 (ja) 半導体装置を形成するための方法
TW201718923A (zh) 含鋁與氮之物質的選擇性沈積
US20050272247A1 (en) Substrate processing method and fabrication process of a semiconductor device
JP2005513813A (ja) 基板上に半導体集積回路用銅配線を形成する方法
KR102018432B1 (ko) 성막 방법
JP2013546182A (ja) マイクロ波プラズマを用いる誘電膜堆積方法
JP2021528850A (ja) 炭素間隙充填膜
JP6821607B2 (ja) 側壁ポアの封止とビアの清浄性のための配線集積化
WO2012043250A1 (ja) 絶縁膜形成装置及び方法
TWI835883B (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法
TW202407758A (zh) 使用體積膨脹的大面積間隙填充
TW202325887A (zh) 使用電漿選擇性沉積含矽及氧之材料
TW202029286A (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211119

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230516

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230525

R150 Certificate of patent or registration of utility model

Ref document number: 7287770

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150