JP2016505221A - 3−dメモリアレイ - Google Patents

3−dメモリアレイ Download PDF

Info

Publication number
JP2016505221A
JP2016505221A JP2015556028A JP2015556028A JP2016505221A JP 2016505221 A JP2016505221 A JP 2016505221A JP 2015556028 A JP2015556028 A JP 2015556028A JP 2015556028 A JP2015556028 A JP 2015556028A JP 2016505221 A JP2016505221 A JP 2016505221A
Authority
JP
Japan
Prior art keywords
gate lines
adjacent
gate
strings
memory array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015556028A
Other languages
English (en)
Other versions
JP6122153B2 (ja
Inventor
シムゴーダ,ディーパク
クリアマン,ブライアン
ハスナット,ハレド
Original Assignee
マイクロン テクノロジー, インク.
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク., マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2016505221A publication Critical patent/JP2016505221A/ja
Application granted granted Critical
Publication of JP6122153B2 publication Critical patent/JP6122153B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1443Non-volatile random-access memory [NVRAM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、個々のストリングより高さ方向的に上にあり、個々のストリングに個別に結合する。選択デバイスは、チャネルと、チャネルに隣接するゲート誘電体と、ゲート誘電体に隣接するゲート材料とを個別に含む。個々のチャネルは、互いに間隔を空けられる。ゲート材料は、ストリングより高さ方向的に上に、間隔の空いたチャネルの列に沿って走る複数のゲート線を含む。誘電体材料は、真隣のゲート線の間に横方向にある。誘電体材料およびゲート線は、互いに対する界面において縦方向の非線形端を有する。さらなる実施形態が開示される。【選択図】図1

Description

本明細書で開示される実施形態は、3−Dメモリアレイに関する。
メモリは、電子システム用のデータ記憶装置を提供する。フラッシュメモリは、メモリの1タイプであって、最新のコンピュータおよびデバイスにおいて多数の用途を有する。例えば、パーソナルコンピュータは、フラッシュメモリチップ上に格納されたBIOSを有してもよい。別の実施例として、従来のハードドライブに取って代わる、ソリッドステートドライブにおけるフラッシュメモリの利用は、コンピュータおよび他のデバイスにとってますます一般的となっている。さらに別の実施例として、フラッシュメモリは、無線電子デバイスにおいて一般的である。なぜなら、フラッシュメモリは、製造者が、新しい通信プロトコルをそれらが標準化され次第サポートし、機能向上のためにデバイスを遠隔でアップグレードする性能を提供することを可能とするからである。
典型的なフラッシュメモリは、行および列形式に配列された多数のメモリセルを含むメモリアレイを含む。フラッシュメモリは、ブロックで消去され、再プログラムされることがある。NANDは、フラッシュメモリの基本的アーキテクチャであってもよい。NANDセルユニットは、メモリセルの直列結合体へと直列に結合される少なくとも一つの選択デバイスを含む(この直列結合体は、通常NANDストリングと称される)。例示的NANDアーキテクチャは、米国特許番号7,898,850に記述される。
フラッシュメモリセルストリングは、歴史的には水平方向に伸びるように配列されるが、現在は、垂直方向に伸びるメモリセルストリングが現在考えられている。垂直方向のメモリセルストリングの作製における目標の一つは、典型的には垂直方向の厚さが増加することを犠牲にしても、水平方向に伸びるメモリセルストリングと比較してメモリセルによって占有される基板の水平方向の面積を縮小することである。とは言うものの、垂直に方向付けられるメモリセルストリングは、水平に方向付けられたメモリセルストリングのレイアウトには存在しない水平方向のパッキング密度に関する検討事項を生み出すことがある。
図2における直線1−1に沿って描かれた、本発明の一実施形態に従うメモリアレイの上から見下ろした断面図である。 図1における直線2−2に沿って描かれた、図1のメモリアレイの複合構造概略図である。 図1における領域3の拡大図である。 本発明の一実施形態に従う、図1に示されたメモリアレイとは別の実施形態のメモリアレイの上から見下ろした断面図である。 図4における領域5の拡大図である。 本発明の一実施形態に従う、メモリアレイの複合構造概略図である。
本発明の幾つかの実施形態に従う3−Dメモリアレイは、図1−図3を参照して記述される。本文書で使用されるように、“サブアレイ(sub−array)”は、アレイと考えられてもよい。図1は、図2における直線1−1を通るメモリアレイ10の上から見下ろした断面図であり、図2は、図1における直線2−2を通る複合構造概略図である。三次元のメモリアレイ10は、メモリセルの複数の高さ方向に伸びるストリングを含む。本文書において、高さ方向に伸びる(“elevationally extending”)とは、基板が作製中に処理される基礎表面から少なくとも45°の角度を有する方向のことを称し、ほぼ水平方向を画定するものと考えられてもよい。さらに、本明細書で使用されるような垂直方向(“vertical”)および水平方向(“horizontal”)とは、三次元空間における基板の方向とは関係なく、互いに対してほぼ垂直の方向である。個々のストリングは、垂直線12によって図2において概略的に示され、メモリセルは、ドット14によって概略的に示される。既存もしくは開発中の何れのメモリセル構造も以下により詳細に記述される一実施例で使用されてもよい。それとは関係なく、メモリセル14は、図2に示されるように、個々のストリング12内で互いに対して直列に結合されてもよいし、または、個々のストリング12内で整列されてもよい。
選択デバイス16のアレイは、ストリング12より高さ方向的に上に存在し、選択デバイス16は、個々のストリング12と個別に(即ち、電気的に)結合する。選択デバイス16は、(図示されていない)他の回路に選択デバイスを接続するために、(概略的に示された)導電性接点25と接続してもよい。選択デバイスは、例えば示されるように、トランジスタを含んでもよい。例示的な選択デバイス16は、チャネル18、ゲート誘電体20、およびゲート誘電体20近傍のゲート材料22を個別に含むものとして示される。本明細書で記述された任意の材料および/もしくは構造は、均質であってもよいし、不均質であってもよい。さらに、各々は、(プラズマを利用するか、もしくはプラズマを利用しない)任意の好適な既存もしくは開発中の技術を利用して形成されてもよく、原子層堆積、化学蒸着、物理蒸着、エピタキシャル成長、拡散ドーピング、およびイオン注入がその例である。例示的なチャネルおよびゲート材料は、好適な異なる濃度のドーパントでドープされた半導電性材料(例えば、ポリシリコン)を含み、チャネル18上およびチャネル18下のドープされた半導電性材料は、一実施形態においては、選択デバイストランジスタのソース/ドレインとして機能する。例示的なゲート誘電体は、二酸化シリコンおよび/もしくは窒化シリコンである。チャネル18は、断面が円形であるものとして示されているが、他の形状が使用されてもよい。
幾つかの実施形態においては、個々のチャネルは、列に沿って互いに間隔が空けられ、ゲート材料は、それらの列に沿って走る複数のゲート線に配列される。一つ以上の列のチャネルは、個々のゲート線内にあってもよい。例えば、図1および図2は、ゲート線26(26a−26hとして示される)を含むメモリアレイ10の一部を示すが、各ゲート線は、個々のストリング12より高さ方向的に上に個別にあるチャネル18の複数の(示されるのは2つの)列24a、24bを含む。チャネル18は、個々のストリング12内のメモリセル14のチャネル材料の延長であってもよい。図示された実施形態においては、個々のゲート線は、個々のゲート線26a−hの材料22によって個別に包囲される間隔の空いたチャネル18の複数の列を含む。個々のトランジスタ選択デバイス16のゲート部分は、其々の各選択デバイスに対する電流を活性化するための幾つかの機能的最小寸法環28(図2および図3)と考えられてもよい。当該環の外側のゲート材料22は、其々のゲート線26a−hに沿って個々の隣接するゲート環を電気的に接続する導電性相互接続材料と考えられてもよい。環28は、(図示されるように)事実上互いに間隔が空けられてもよいし、重なり合ってもよい(図示せず)。
誘電体材料30は、真隣のゲート線26の間に横方向に存在し、ドープされるおよび/もしくはドープされていない二酸化シリコンがその例である。誘電体材料30とゲート線26との互いに対する界面において、誘電体材料30は、縦方向に非線形端32を有し、ゲート線26は、縦方向に非線形端34(図1および図3)を有する。換言すると、誘電体材料30およびゲート線26は、そうした界面を形成する場所で非線形である縦方向の端を有する。理想的一実施形態においては、図示されるように、縦方向端32/34は曲線的である。別の実施例として、端32および34は、互いに対して縦方向に曲げる直線部分の組み合わせ(図示せず)を含むか、曲線および直線部分の組み合わせ(図示せず)を含んでもよい。
一実施形態においては、真隣のゲート線間の真隣の列におけるチャネルは、互いに対して縦方向に互い違いになり、一実施形態においては、例えば図示されるように、等距離に互い違いになる。具体的には、ゲート線26bにおけるチャネル列24bおよびゲート線26cにおけるチャネル列24aは、互いに対して真隣である。さらに、誘電体材料30を横断する列26bおよび26cにおけるチャネル18は、互いに対して縦方向に互い違いであって、図1−図3の実施形態においては等距離である。一実施形態においては、全ての真隣の列のチャネルは、互いに縦方向に互い違いになる。例えば、図1−図3の実施形態においては、個々のゲート線26a−h内のチャネル列24a、24bは、真隣のゲート線間の列と同様に縦方向に互い違いである。
本発明の実施形態は、誘電体材料30の幅を縮小しなくても真隣のゲート線間の間隔/幅を縮小することを可能とし、それによって、メモリセルの高さ方向に伸びるストリングを含むメモリアレイにおけるブロック高さを低下させる。例えば、図1は、寸法55によって画定される広がり内にあるメモリセルストリングの集合によって画定されるメモリブロック65(サブブロックとすることもできる)のブロック高さ寸法55を示し、ブロック高さ寸法55は、線形(即ち、縦方向に直線の)界面を有する誘電体およびゲート材料と比較して縮小されうる。
一実施形態においては、誘電体材料を横断する選択デバイスは、チャネル幅+ゲート誘電体幅の2倍+ゲート誘電体と誘電体材料との間のゲート材料幅+誘電体材料幅に等しいピッチを有する。例えば、図3は、このような例示的ピッチPがチャネル幅40(例えば、チャネル半径の2倍)+ゲート誘電体幅41の2倍+ゲート誘電体20と誘電体材料30との間のゲート材料幅42+誘電体材料幅43に等しいことを示す。図示された実施形態においては、こうしたことは、ゲート誘電体20の横方向の最外部端が誘電体材料30およびゲート材料22の界面の横方向の最内部位置(例えば、図3において直線55によって示される)と線形に一致する場合に生じ得る。このようなピッチを生じる別の構造が使用されてもよいし、他のピッチが使用されてもよい。それとは関係なく、一実施形態においては、ゲート材料幅42は、環28の機能的最小寸法以下であって、一実施形態においては、(図示されるような)そうした寸法に等しく、一実施形態においては、そうした寸法より小さい(図示せず)。それとは関係なく、誘電体材料幅43は、縦方向に沿って一定であってもよいし、一定でなくてもよく、幅43は、図示された実施形態においては、一定である。
一実施形態においては、例えば、真隣のゲート線に対して列のチャネルが互いに縦方向に等距離に互い違いである場合、誘電体材料を横断する選択デバイスは以下のようにピッチPを有する。
Figure 2016505221
ここで、“C”は、真隣のゲート線における斜め方向に隣接するチャネル間の中心間距離であり、“A”は、個々のゲート線の個々のチャネル列における縦方向に隣接するチャネル間の中心間距離である。例えば、図3を参照すると、そうした例示的ピッチPは、真隣のゲート線26b、26cにおける斜め方向に隣接するチャネル18間の中心間距離Cについて図示され、ここで、“A”は、ゲート線26cのチャネル列24aにおける縦方向に隣接するチャネル18間の中心間距離である。
図1−図3は、真隣のゲート線を横断する選択デバイスのピッチが、個々のゲート線内の選択デバイスのピッチよりも大きい(例えば、PがPよりも大きい)一実施形態を示す。あるいは、この関係は逆であってもよいし、これらの値は等しくてもよい。図4および図5は、例示する目的で、メモリアレイ10aの別の実施形態を示し、ここでは、真隣のゲート線を横断する選択デバイスのピッチ(P’)が個々のゲート線内の選択デバイスのピッチ(P)よりも小さい。こうしたことは、図1−図3の実施形態の幅43と比較して、ゲート誘電体幅43’を縮小することによって生じることがある。
幾つかの実施形態においては、メモリセルストリングは、高さ方向的に内部の層(tier)を通って伸びる活性領域柱(例えば、チャネル材料)を含む直列結合されたメモリセルを含む。内部の層は、柱に隣接する電荷格納構造および電荷格納構造に隣接するアクセス線を個別に含む。当該例示的実施形態は、図6を参照して記述され、上述された実施形態のうちの任意の実施形態に組み入れられてもよい。上記の実施形態における類似の参照番号は、適切な場合に利用される。図6は、ベース52によって支持される積層50を含む例示的構造45を示す。ベース52は、半導体基板を含んでもよい。本文書の文脈においては、“半導体基板(semiconductor substrate)”もしくは“半導電性基板(semiconductive substrate)”という用語は、半導電性ウェーハ(単独もしくは他の材料をその上に含むアセンブリのいずれか)および半導電性材料層(単独もしくは他の材料を含むアセンブリのいずれか)などのバルク半導電性材料を含むがそのいずれにも限定はされない半導電性材料を含む任意の構造を意味するように定義される。“基板(substrate)”という用語は、上述された半導電性基板を含むがそれに限定はされない任意の支持構造のことを称する。
積層50は、選択デバイス16(概略的に図示される)が形成される外部の層56の高さ方向的に内側にある層54を含む。高さ方向に伸びるメモリセルストリング12は、高さ方向的に内部の層54を通って伸びる活性領域柱58を個別に含み、一実施形態においては垂直に伸びる。柱58は、外部の層56内の(例えば、チャネル18の少なくとも一部を形成する)選択デバイス16へと伸びることがある。柱58は、断面が円形もしくはその他の形状であってもよい。介在誘電体材料60は、層54の間にある。内部の層54は、柱58に隣接する電荷格納構造62と、電荷格納構造62に隣接するアクセス線64とを個別に含む。アクセス線64は、金属、金属元素、金属元素の合金、金属化合物および/もしくは導電性を有するようにドープされた半導電性材料などの任意の好適な導電性材料を含んでもよい。誘電体材料65は、アクセス線64と電荷格納構造62との間にあり、二酸化シリコンおよび窒化シリコン合成物が例示的材料である。例示的な電荷格納構造62は、誘電体材料70(例えば、二酸化シリコンおよび/もしくは窒化シリコン)によって活性領域柱58から分離された電荷格納材料68を含むものとして図示されている。例示的な好適な電荷格納材料は、浮遊ゲート材料(例えば、ドープされた、もしくはドープされていないシリコン)および電荷捕捉材料(例えば、窒化シリコン、ナノドットなど)を含む。
外部の層56内の選択デバイス16は、選択ゲートドレイン(SGD)および選択ゲートソース(SGS)のうちの一つもしくはその組み合わせを含んでもよい。例えば、一実施形態においては、選択デバイス16は、全てSGDであってもよいし、一実施形態においては、SGS76のアレイは、層54の高さ方向的に内側の内部の層74内に提供され、個々のメモリセルストリング12と個別に結合してもよい。別の実施例として、選択デバイス16は、全てSGSであってもよいし、例えば、パイプ型ビットコストスケーラブル(P−BiCS)NANDフラッシュ(図示せず)に関する、SGDおよびSGSの組み合わせであってもよい。導電性接点もしくはその他の回路25は、図6のあるページ平面に対して直行して走るビット線の形態であってもよく、例えば、異なる列にある個々の選択デバイス16の高さ方向的に外側のソース/ドレイン領域(図示されていない)と結合する。
本発明の一実施形態は、メモリセルの高さ方向に伸びる複数のストリングを含む3−Dメモリアレイを包含する。これらは、個々のストリングより高さ方向的に上にあり、個々のストリングと個別に結合する選択デバイスのアレイをも含む。選択デバイスは、誘電体材料によって横方向に分離されたネスト型(入れ子構造)で縦方向に曲線のゲート線を含む。上述され、図1および図4に示された例示的実施形態は、このような3−Dメモリアレイの二つの実施例に過ぎない。上述されたような任意の他の特質も使用されてもよい。
[結論]
幾つかの実施形態においては、3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、ストリングより高さ方向的に上にあり、個々のストリングと個別に結合する。選択デバイスは、チャネル、チャネルに隣接するゲート誘電体、ゲート誘電体に隣接するゲート材料を個別に含む。個々のチャネルは、互いに間隔を空けられる。ゲート材料は、ストリングより高さ方向的に上に、間隔の空いたチャネルの列に沿って走る複数のゲート線を含む。誘電体材料は、真隣のゲート線間に横方向にある。誘電体材料およびゲート線は、互いに対する界面に、縦方向に非線形の端を有する。
幾つかの実施形態においては、3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、個々のストリングより高さ方向的に上にあり、個々のストリングと個別に結合する。選択デバイスは、誘電体材料によって横方向に分離された、ネスト型で縦方向に曲線のゲート線を含む。
幾つかの実施形態においては、3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、個々のストリングより高さ方向的に上にあり、個々のストリングと個別に結合する。選択デバイスは、誘電体材料によって横方向に分離されたゲート線を含む。誘電体材料を横断する選択デバイスは、選択デバイスチャネル幅+ゲート誘電体幅の2倍+ゲート誘電体と誘電体材料との間のゲート線材料幅+誘電体材料幅に等しいピッチを有する。
幾つかの実施形態においては、3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、個々のストリングより高さ方向的に上にあり、個々のストリングと個別に結合する。選択デバイスは、誘電体材料によって横方向に分離されたゲート線を含む。選択デバイスは、個々のゲート線内に間隔の空いたチャネルの列を含む。真隣のゲート線に関する列におけるチャネルは、互いに対して縦方向に等距離に互い違いである。誘電体材料を横断する選択デバイスは、以下の式に等しいピッチPを有する。
Figure 2016505221
ここで、“C”は、真隣のゲート線における斜め方向に隣接するチャネル間の中心間距離であり、“A”は、個々のゲート線におけるチャネル列における縦方向に隣接するチャネル間の中心間距離である。
幾つかの実施形態においては、3−Dメモリアレイは、メモリセルの高さ方向に伸びる複数のストリングを含む。選択デバイスのアレイは、個々のストリングより高さ方向的に上にあり、個々のストリングと個別に結合する。選択デバイスは、誘電体材料によって横方向に分離されたゲート線を含む。個々のゲート線は、個々のゲート線の材料によって個別に包囲された、間隔の空いた選択デバイスチャネルの複数の列を含む。真隣のゲート線を横断する選択デバイスのピッチは、個々のゲート線内の選択デバイスのピッチよりも小さい。
幾つかの実施形態においては、3−Dメモリアレイは、直列結合され、高さ方向に伸びるメモリセルの複数のストリングを含む。ストリングは、高さ方向的に内部の層を通って伸びる活性領域柱を個別に含む。内部の層は、柱に隣接する電荷格納構造と、電荷格納構造に隣接するアクセス線とを個別に含む。高さ方向的に外部の層は、個々のストリングに個別に結合する選択ゲートドレイン(SGD)のアレイを含む。SGDは、活性領域柱の一つより高さ方向的に上にあり、活性領域柱の一つに結合されたチャネル柱を含む。ゲート誘電体は、チャネル柱を包囲し、ゲート材料はゲート誘電体を包囲する。ゲート材料は、チャネル柱の列に沿って走る外部の層内の複数のSGDゲート線を含む。真隣のゲート線間で真隣の列におけるチャネル柱は、互いに対して縦方向に等距離に互い違いである。誘電体材料は、外部の層内にあり、真隣のゲート線を横方向に分離する。誘電体材料およびゲート線は、互いに対する界面において、縦方向に曲線の端を有する。

Claims (34)

  1. メモリセルの高さ方向に伸びる複数のストリングと、
    個々の前記複数のストリングより高さ方向的に上にあり、個々の前記複数のストリングに個別に結合する複数の選択デバイスのアレイであって、前記複数の選択デバイスは、チャネルと、前記チャネルに隣接するゲート誘電体と、前記ゲート誘電体に隣接するゲート材料とを個別に含み、前記複数の個々のチャネルは、互いに間隔を空けられ、前記ゲート材料は、前記複数のストリングより高さ方向的に上に、間隔の空いた前記複数のチャネルの複数の列に沿って走る複数のゲート線を含む、前記アレイと、
    真隣の前記複数のゲート線間に横方向にある誘電体材料であって、 前記誘電体材料および前記複数のゲート線は、互いに対する界面において、複数の縦方向に非線形の端を有する、前記誘電体材料と、
    を含む、
    ことを特徴とする3−Dメモリアレイ。
  2. 前記誘電体材料および前記複数のゲート線は、前記界面において縦方向に曲線の複数の端を有する、
    ことを特徴とする3−Dメモリアレイ。
  3. 前記真隣の複数のゲート線間で真隣の前記複数の列における前記複数のチャネルは、互いに対して縦方向に互い違いである、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  4. 真隣の前記複数のゲート線間で真隣の前記複数の列における前記複数のチャネルは、互いに対して縦方向に等距離に互い違いである、
    ことを特徴とする請求項3に記載の3−Dメモリアレイ。
  5. 真隣の前記全ての列の前記複数のチャネルは、互いに対して縦方向に互い違いである、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  6. 真隣の前記複数のゲート線間で真隣の前記複数の列の前記複数のチャネルは、互いに対して縦方向に等距離に互い違いである、
    ことを特徴とする請求項3に記載の3−Dメモリアレイ。
  7. 前記複数の個々のゲート線における間隔の空いた複数のチャネルの複数の列を含む、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  8. 前記複数のメモリセルは、個々の前記ストリング内に直列に結合され、前記複数のストリングは、高さ方向的に内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含み、前記複数の選択デバイスは、複数の選択ゲートドレイン(SGD)を含む、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  9. 前記誘電体材料を横断する前記複数の選択デバイスは、チャネル幅+ゲート誘電体幅の2倍+前記ゲート誘電体と前記誘電体材料との間のゲート材料幅+誘電体材料幅に等しいピッチを有する、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  10. 真隣の前記複数のゲート線に関する前記複数の列における前記複数のチャネルは、互いに対して縦方向に等距離に互い違いであり、
    前記誘電体材料を横断する前記複数の選択デバイスは、
    Figure 2016505221
    に等しいピッチPを有し、ここで、“C”は、真隣の前記複数のゲート線における斜め方向に隣接する複数のチャネル間の中心間距離であり、“A”は、個々の前記複数のゲート線における前記複数のチャネルの列における縦方向に隣接する複数のチャネル間の中心間距離である、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  11. 個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される、間隔の空いた複数のチャネルの複数の列を含み、
    真隣の前記複数のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線内の前記複数の選択デバイスのピッチよりも小さい、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  12. 個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される、間隔の空いた複数のチャネルの複数の列を含み、
    真隣の前記複数のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線内の前記複数の選択デバイスのピッチよりも大きい、
    ことを特徴とする請求項1に記載の3−Dメモリアレイ。
  13. メモリセルの高さ方向に伸びる複数のストリングと、
    個々の前記複数のストリングより高さ方向的に上にあり、個々の前記複数のストリングと個別に結合する複数の選択デバイスのアレイであって、前記複数の選択デバイスは、誘電体材料によって横方向に分離されたネスト型の縦方向に曲線の複数のゲート線を含む、前記アレイと、
    を含む、
    ことを特徴とする3−Dメモリアレイ。
  14. 前記複数の選択デバイスは、個々の前記複数のゲート線中の列に沿って互いから個別に間隔を空けられた複数のチャネルを含み、真隣の前記複数のゲート線間で真隣の前記複数の列の前記複数のチャネルは、互いに対して縦方向に互い違いである、
    ことを特徴とする請求項13に記載の3−Dメモリアレイ。
  15. 前記複数のメモリセルは、個々の前記複数のストリング内に直列に結合され、前記複数のストリングは、高さ方向的に複数の内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含む、
    ことを特徴とする請求項13に記載の3−Dメモリアレイ。
  16. 前記複数の選択デバイスは複数の選択ゲートドレイン(SGD)を含む、
    ことを特徴とする請求項15に記載の3−Dメモリアレイ。
  17. 複数のメモリセルの高さ方向に伸びる複数のストリングと、
    個々の前記複数のストリングより高さ方向的に上にあり、個々の前記複数のストリングと個別に結合する複数の選択デバイスのアレイであって、前記複数の選択デバイスは、誘電体材料によって横方向に分離された複数のゲート線を含む、前記アレイと、
    を含み、
    前記誘電体材料を横断する前記複数の選択デバイスは、選択デバイスチャネル幅+ゲート誘電体幅の2倍+前記ゲート誘電体と前記誘電体材料との間のゲート線材料幅+誘電体材料幅に等しいピッチを有する、
    ことを特徴とする3−Dメモリアレイ。
  18. 前記複数の選択デバイスは、個々の前記複数のゲート線内に複数のチャネルの列を含み、真隣の前記複数のゲート線に関する前記複数の列中の前記複数のチャネルは、互いに対して縦方向に等距離に互い違いであり、
    前記誘電体材料を横断する前記複数の選択デバイスは、
    Figure 2016505221
    に等しいピッチPを有し、ここで、“C”は、真隣の前記複数のゲート線における斜め方向に隣接する複数のチャネル間の中心間距離であり、“A”は、個々の前記複数のゲート線中の前記複数のチャネルの列において縦方向に隣接する複数のチャネル間の中心間距離である、
    ことを特徴とする請求項17に記載の3−Dメモリアレイ。
  19. 個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される間隔の空いた複数の選択デバイスチャネルの複数の列を含み、
    真隣の前記複数のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線内の前記複数の選択デバイスのピッチよりも小さい、
    ことを特徴とする請求項17に記載の3−Dメモリアレイ。
  20. 真隣の前記複数のゲート線に関する前記複数の列中の前記複数のチャネルは、互いに対して縦方向に等距離に互い違いであり、
    前記誘電体材料を横断する前記複数の選択デバイスは、
    Figure 2016505221
    に等しいピッチPを有し、ここで、“C”は、真隣の前記複数のゲート線における斜め方向に隣接する複数のチャネル間の中心間距離であり、“A”は、個々の前記複数のゲート線中の前記複数のチャネルの列において縦方向に隣接する複数のチャネル間の中心間距離である、
    ことを特徴とする請求項19に記載の3−Dメモリアレイ。
  21. 真隣の全ての前記複数の列の前記複数のチャネルは、互いに対して縦方向に互い違いである、
    ことを特徴とする請求項20に記載の3−Dメモリアレイ。
  22. 前記複数のメモリセルは、個々の前記複数のストリング内で直列に結合され、前記複数のストリングは、高さ方向的に複数の内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含み、前記複数の選択デバイスは複数の選択ゲートドレイン(SGD)を含む、
    ことを特徴とする請求項17に記載の3−Dメモリアレイ。
  23. 複数のメモリセルの高さ方向に伸びる複数のストリングと、
    個々の前記複数のストリングより高さ方向的に上にあり、個々の前記複数のストリングと個別に結合する複数の選択デバイスのアレイであって、前記複数の選択デバイスは、誘電体材料によって横方向に分離された複数のゲート線を含み、前記複数の選択デバイスは、個々の前記複数のゲート線内に間隔の空いた複数のチャネルの列を含み、真隣の前記複数のゲート線に関する前記複数の列における前記複数のチャネルは、互いに対して縦方向に等距離に互い違いである、前記アレイと
    を含み、
    前記誘電体材料を横断する前記複数の選択デバイスは、
    Figure 2016505221
    に等しいピッチPを有し、ここで、“C”は、真隣の前記複数のゲート線における斜め方向に隣接する複数のチャネル間の中心間距離であり、“A”は、個々の前記複数のゲート線における前記複数のチャネルの列において縦方向に隣接する複数のチャネル間の中心間距離である、
    ことを特徴とする3−Dメモリアレイ。
  24. 個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される間隔の空いた複数のチャネルの複数の列を含み、
    真隣の前記複数のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線内の前記複数の選択デバイスのピッチよりも小さい、
    ことを特徴とする請求項23に記載の3−Dメモリアレイ。
  25. 前記複数のメモリセルは、個々の前記複数のストリング内で直列に結合され、前記複数のストリングは、高さ方向的に複数の内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含み、前記複数の選択デバイスは、複数の選択ゲートドレイン(SGD)を含む、
    ことを特徴とする請求項23に記載の3−Dメモリアレイ。
  26. 複数のメモリセルの高さ方向に伸びる複数のストリングと、
    個々の前記複数のストリングより高さ方向的に上にあり、個々の前記複数のストリングと個別に結合する複数の選択デバイスのアレイであって、前記複数の選択デバイスは、誘電体材料によって横方向に分離された複数のゲート線を含み、個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される間隔の空いた複数の選択デバイスチャネルの複数の列を含む、前記アレイと、
    を含み、
    真隣の前記複数のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線内の前記複数の選択デバイスのピッチよりも小さい、
    ことを特徴とする3−Dメモリアレイ。
  27. 前記複数のメモリセルは、個々の前記複数のストリング内で直列に結合され、前記複数のストリングは、高さ方向的に複数の内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含み、前記複数の選択デバイスは、複数の選択ゲートドレイン(SGD)を含む、
    ことを特徴とする請求項26に記載の3−Dメモリアレイ。
  28. 複数のメモリセルの直列結合された高さ方向に伸びる複数のストリングであって、前記複数のストリングは、複数の高さ方向的に内部の層を通って伸びる活性領域柱を個別に含み、前記複数の内部の層は、前記複数の柱に隣接する複数の電荷格納構造と、前記複数の電荷格納構造に隣接する複数のアクセス線とを個別に含む、前記ストリングと、
    個々の前記複数のストリングと個別に結合する複数の選択ゲートドレイン(SGD)のアレイを含む高さ方向的に外部の層であって、前記SGDは、前記複数の活性領域柱の一つより高さ方向的に上にあり前記複数の活性領域柱のうちの一つと結合されたチャネル柱を個別に含み、ゲート誘電体は、前記チャネル柱を包囲し、ゲート材料は、前記ゲート誘電体を包囲し、前記ゲート材料は、前記複数のチャネル柱の複数の列に沿って走る前記外部の層中に複数のSGDゲート線を含み、真隣の前記複数のゲート線間で真隣の複数の列中の前記複数のチャネル柱は、互いに対して縦方向に等距離に互い違いである、前記外部の層と、
    真隣の前記複数のゲート線を横方向に分離する前記外部の層中の誘電体材料であって、前記誘電体材料および前記複数のゲート線は、互いに対する界面において縦方向に曲線の複数の端を有する、前記誘電体材料と、
    を含む、
    ことを特徴とする3−Dメモリアレイ。
  29. 前記複数の内部の層の高さ方向的に内側の層を含み、前記内側の層は、個々の前記複数のストリング内で個別に結合する複数の選択ゲートソース(SGS)のアレイを含む、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ。
  30. 真隣の全ての前記複数の列の前記複数のチャネル柱は、互いに対して縦方向に互い違いである、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ。
  31. 前記誘電体材料を横断する前記SGDは、チャネル柱幅+ゲート誘電体幅の2倍+前記ゲート誘電体と前記誘電体材料との間のゲート材料幅+誘電体材料幅に等しいピッチを有する、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ
  32. 前記誘電体材料を横断する前記SGDは、
    Figure 2016505221
    に等しいピッチPを有し、ここで、“C”は、真隣の前記複数のゲート線における斜め方向に隣接する複数のチャネル柱間の中心間距離であり、“A”は、個々の前記複数のゲート線における前記複数のチャネル柱の列における縦方向に隣接する複数のチャネル柱間の中心間距離である、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ。
  33. 個々の前記複数のゲート線は、個々の前記ゲート線の材料によって個別に包囲される間隔の空いた複数の選択デバイスチャネル柱の複数の列を含み、
    真隣の前記複数のゲート線を横断する前記複数のSGDのピッチは、個々の前記複数のゲート線内の前記複数のSGDのピッチよりも小さい、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ。
  34. 前記複数の個々のゲート線は、前記個々のゲート線の材料によって個別に包囲される間隔の空いた複数のチャネルの複数の列を含み、
    前記複数の真隣のゲート線を横断する前記複数の選択デバイスのピッチは、個々の前記複数のゲート線の内の前記複数の選択デバイスのピッチよりも大きい、
    ことを特徴とする請求項28に記載の3−Dメモリアレイ。
JP2015556028A 2013-02-05 2014-01-13 3−dメモリアレイ Active JP6122153B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/759,627 US9219070B2 (en) 2013-02-05 2013-02-05 3-D memory arrays
US13/759,627 2013-02-05
PCT/US2014/011228 WO2014123660A1 (en) 2013-02-05 2014-01-13 3-d memory arrays

Publications (2)

Publication Number Publication Date
JP2016505221A true JP2016505221A (ja) 2016-02-18
JP6122153B2 JP6122153B2 (ja) 2017-04-26

Family

ID=51258573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015556028A Active JP6122153B2 (ja) 2013-02-05 2014-01-13 3−dメモリアレイ

Country Status (6)

Country Link
US (1) US9219070B2 (ja)
JP (1) JP6122153B2 (ja)
KR (2) KR102079430B1 (ja)
CN (1) CN104956485B (ja)
TW (1) TWI539603B (ja)
WO (1) WO2014123660A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9178077B2 (en) 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US9105737B2 (en) 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US9219070B2 (en) 2013-02-05 2015-12-22 Micron Technology, Inc. 3-D memory arrays
KR20140117212A (ko) * 2013-03-26 2014-10-07 에스케이하이닉스 주식회사 반도체 장치
US9159845B2 (en) 2013-05-15 2015-10-13 Micron Technology, Inc. Charge-retaining transistor, array of memory cells, and methods of forming a charge-retaining transistor
JP2016058494A (ja) 2014-09-08 2016-04-21 株式会社東芝 半導体記憶装置
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9985040B2 (en) 2016-01-14 2018-05-29 Micron Technology, Inc. Integrated circuitry and 3D memory
US10128264B2 (en) 2016-01-21 2018-11-13 SK Hynix Inc. Semiconductor device
KR102630180B1 (ko) * 2016-02-22 2024-01-26 삼성전자주식회사 수직형 메모리 장치의 레이아웃 검증 방법
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN107482013B (zh) * 2017-08-28 2018-09-18 长江存储科技有限责任公司 三维存储器及其形成方法
US10804287B2 (en) 2017-08-28 2020-10-13 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
US10840133B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
KR102634709B1 (ko) 2018-10-15 2024-02-08 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US11037947B2 (en) * 2019-04-15 2021-06-15 Macronix International Co., Ltd. Array of pillars located in a uniform pattern
KR102618474B1 (ko) 2019-05-30 2023-12-26 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP7325522B2 (ja) 2019-06-17 2023-08-14 長江存儲科技有限責任公司 支持構造を伴う三次元メモリデバイスを形成するための方法、およびその結果もたらされる三次元メモリデバイス
CN110896666B (zh) * 2019-06-17 2021-08-27 长江存储科技有限责任公司 具有位于缝隙结构中的支撑结构的三维存储器件和用于形成其的方法
CN110112134B (zh) * 2019-06-17 2020-05-19 长江存储科技有限责任公司 3d nand存储器及其形成方法
KR102668616B1 (ko) 2019-06-17 2024-05-24 양쯔 메모리 테크놀로지스 씨오., 엘티디. 게이트 라인 슬릿이 없는 3차원 메모리 디바이스 및 그 형성 방법
CN110914990A (zh) 2019-06-17 2020-03-24 长江存储科技有限责任公司 具有在栅极线缝隙中的支撑结构的三维存储器件和用于形成其的方法
CN112119497B (zh) * 2020-08-17 2024-01-30 长江存储科技有限责任公司 在存储块之间具有稳定结构的三维存储器件以及用于形成其的方法
US11563024B2 (en) * 2020-08-25 2023-01-24 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
CN112185968B (zh) * 2020-09-30 2021-09-24 长江存储科技有限责任公司 一种半导体器件
US11476276B2 (en) * 2020-11-24 2022-10-18 Macronix International Co., Ltd. Semiconductor device and method for fabricating the same
US11903196B2 (en) * 2020-12-18 2024-02-13 Micron Technology, Inc. Microelectronic devices including tiered stacks including conductive structures isolated by slot structures, and related electronic systems and methods

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0479369A (ja) * 1990-07-23 1992-03-12 Toshiba Corp 不揮発性半導体記憶装置
JP2010080755A (ja) * 2008-09-26 2010-04-08 Elpida Memory Inc 半導体装置
US20100171162A1 (en) * 2009-01-07 2010-07-08 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2010187000A (ja) * 2009-02-10 2010-08-26 Samsung Electronics Co Ltd 垂直nandチャンネルとこれを含む不揮発性メモリー装置、及び垂直nandメモリー装置
JP2011035169A (ja) * 2009-07-31 2011-02-17 Renesas Electronics Corp 不揮発性半導体記憶装置及びその製造方法
JP2012204437A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6353242B1 (en) 1998-03-30 2002-03-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory
US6057193A (en) 1998-04-16 2000-05-02 Advanced Micro Devices, Inc. Elimination of poly cap for easy poly1 contact for NAND product
US6063666A (en) 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US6274471B1 (en) 1999-06-04 2001-08-14 Taiwan Semiconductor Manufacturing Company Method for making high-aspect-ratio contacts on integrated circuits using a borderless pre-opened hard-mask technique
US6143604A (en) 1999-06-04 2000-11-07 Taiwan Semiconductor Manufacturing Company Method for fabricating small-size two-step contacts for word-line strapping on dynamic random access memory (DRAM)
US6180454B1 (en) 1999-10-29 2001-01-30 Advanced Micro Devices, Inc. Method for forming flash memory devices
US6803318B1 (en) 2000-09-14 2004-10-12 Cypress Semiconductor Corp. Method of forming self aligned contacts
US6596597B2 (en) 2001-06-12 2003-07-22 International Business Machines Corporation Method of manufacturing dual gate logic devices
US6661691B2 (en) 2002-04-02 2003-12-09 Hewlett-Packard Development Company, L.P. Interconnection structure and methods
US7122488B2 (en) 2004-03-15 2006-10-17 Sharp Laboratories Of America, Inc. High density plasma process for the formation of silicon dioxide on silicon carbide substrates
JP4326835B2 (ja) 2003-05-20 2009-09-09 三菱電機株式会社 半導体装置、半導体装置の製造方法及び半導体装置の製造プロセス評価方法
US7419895B2 (en) 2003-10-23 2008-09-02 Micron Technology, Inc. NAND memory arrays
TWI250579B (en) 2003-12-22 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device
US20050200026A1 (en) 2004-03-10 2005-09-15 Taiwan Semiconductor Manufacturing Co. Ltd. Contact structure for nanometer characteristic dimensions
KR100669864B1 (ko) 2005-06-29 2007-01-16 삼성전자주식회사 불휘발성 메모리 장치의 제조 방법
US20070004141A1 (en) 2005-07-04 2007-01-04 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US7112490B1 (en) 2005-07-25 2006-09-26 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
JP4945165B2 (ja) 2006-04-28 2012-06-06 株式会社東芝 半導体装置の製造方法
JP4768557B2 (ja) 2006-09-15 2011-09-07 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP4772656B2 (ja) 2006-12-21 2011-09-14 株式会社東芝 不揮発性半導体メモリ
JP4939955B2 (ja) 2007-01-26 2012-05-30 株式会社東芝 不揮発性半導体記憶装置
US7898850B2 (en) 2007-10-12 2011-03-01 Micron Technology, Inc. Memory cells, electronic systems, methods of forming memory cells, and methods of programming memory cells
US7898856B2 (en) 2007-10-25 2011-03-01 Micron Technology, Inc. Memory cell heights
KR20090046155A (ko) 2007-11-05 2009-05-11 주식회사 동부하이텍 플래시 메모리 소자의 제조방법
EP2225774A4 (en) 2007-12-27 2013-04-24 Toshiba Kk SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREFOR
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
US7829935B2 (en) 2008-03-26 2010-11-09 Hiroshima University Semiconductor memory, semiconductor memory system using the memory, and method for manufacturing quantum dot used in semiconductor memory
KR100994711B1 (ko) 2008-05-21 2010-11-17 주식회사 하이닉스반도체 전하 트랩형 비휘발성 메모리 장치 제조 방법
US7867831B2 (en) 2008-05-28 2011-01-11 Hynix Semiconductor Inc. Manufacturing method of flash memory device comprising gate columns penetrating through a cell stack
KR101539697B1 (ko) 2008-06-11 2015-07-27 삼성전자주식회사 수직형 필라를 활성영역으로 사용하는 3차원 메모리 장치,그 제조 방법 및 그 동작 방법
JP2010153481A (ja) 2008-12-24 2010-07-08 Toshiba Corp 半導体記憶装置
US7968406B2 (en) 2009-01-09 2011-06-28 Micron Technology, Inc. Memory cells, methods of forming dielectric materials, and methods of forming memory cells
US8304755B2 (en) 2009-02-18 2012-11-06 Macronix International Co., Ltd. Three-dimensional semiconductor structure
US8187938B2 (en) 2009-04-13 2012-05-29 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
KR101105432B1 (ko) 2009-06-26 2012-01-17 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
KR101635502B1 (ko) * 2009-07-22 2016-07-01 삼성전자주식회사 반도체 메모리 장치 및 그것의 프로그램 방법
JP2011035228A (ja) 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR101075494B1 (ko) 2009-12-18 2011-10-21 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
KR20110085502A (ko) 2010-01-20 2011-07-27 삼성전자주식회사 노어형 플래시 메모리 소자의 제조 방법
US8772856B2 (en) 2010-01-25 2014-07-08 Micron Technology, Inc. Charge storage nodes with conductive nanodots
JP2011165815A (ja) 2010-02-08 2011-08-25 Toshiba Corp 不揮発性半導体記憶装置
US8288811B2 (en) 2010-03-22 2012-10-16 Micron Technology, Inc. Fortification of charge-storing material in high-K dielectric environments and resulting apparatuses
KR101110355B1 (ko) 2010-04-05 2012-02-14 서울대학교산학협력단 차단 게이트 라인을 갖는 3차원 스택 어레이 및 그 제조방법
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
KR20110120654A (ko) 2010-04-29 2011-11-04 주식회사 하이닉스반도체 비휘발성 메모리 장치 제조 방법
US9111799B2 (en) * 2010-05-25 2015-08-18 Samsung Electronics Co., Ltd. Semiconductor device with a pick-up region
US8592873B2 (en) 2010-06-24 2013-11-26 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of forming the same
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8237213B2 (en) 2010-07-15 2012-08-07 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and the formation thereof
US8097490B1 (en) 2010-08-27 2012-01-17 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interconnect layer for stacked semiconductor die
KR101796630B1 (ko) 2010-09-17 2017-11-10 삼성전자주식회사 3차원 반도체 장치
US20120086072A1 (en) 2010-10-11 2012-04-12 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and related method of manufacture
DE102011084603A1 (de) * 2010-10-25 2012-05-16 Samsung Electronics Co., Ltd. Dreidimensionales Halbleiterbauelement
KR101205143B1 (ko) 2010-11-15 2012-11-26 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
KR101805769B1 (ko) * 2010-11-29 2017-12-08 삼성전자주식회사 3차원 반도체 기억 소자의 제조 방법
US8633080B2 (en) 2011-01-12 2014-01-21 Freescale Semiconductor, Inc. Methods of making multi-state non-volatile memory cells
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
JP5606347B2 (ja) 2011-01-27 2014-10-15 株式会社東芝 半導体装置およびその製造方法
KR101842900B1 (ko) * 2011-02-16 2018-03-29 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101175885B1 (ko) 2011-02-17 2012-08-21 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 제조 방법
KR101206508B1 (ko) 2011-03-07 2012-11-29 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 장치 제조방법
KR101198532B1 (ko) 2011-04-05 2012-11-06 한양대학교 산학협력단 3차원 구조의 비휘발성 메모리 소자
KR20130006794A (ko) 2011-06-23 2013-01-18 삼성전자주식회사 미세 패턴 형성 방법 및 반도체 소자의 제조 방법
KR20130037062A (ko) 2011-10-05 2013-04-15 에스케이하이닉스 주식회사 비휘발성 메모리 소자 및 캐패시터
KR20130077450A (ko) 2011-12-29 2013-07-09 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US9178077B2 (en) 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US8778762B2 (en) 2012-12-07 2014-07-15 Micron Technology, Inc. Methods of forming vertically-stacked structures, and methods of forming vertically-stacked memory cells
US9219070B2 (en) 2013-02-05 2015-12-22 Micron Technology, Inc. 3-D memory arrays
US8969153B2 (en) 2013-07-01 2015-03-03 Sandisk Technologies Inc. NAND string containing self-aligned control gate sidewall cladding

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0479369A (ja) * 1990-07-23 1992-03-12 Toshiba Corp 不揮発性半導体記憶装置
JP2010080755A (ja) * 2008-09-26 2010-04-08 Elpida Memory Inc 半導体装置
US20100171162A1 (en) * 2009-01-07 2010-07-08 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2010161132A (ja) * 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010187000A (ja) * 2009-02-10 2010-08-26 Samsung Electronics Co Ltd 垂直nandチャンネルとこれを含む不揮発性メモリー装置、及び垂直nandメモリー装置
JP2011035169A (ja) * 2009-07-31 2011-02-17 Renesas Electronics Corp 不揮発性半導体記憶装置及びその製造方法
JP2012204437A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Also Published As

Publication number Publication date
TWI539603B (zh) 2016-06-21
JP6122153B2 (ja) 2017-04-26
KR102079430B1 (ko) 2020-02-19
WO2014123660A1 (en) 2014-08-14
CN104956485B (zh) 2017-12-22
US20140217488A1 (en) 2014-08-07
KR20150103740A (ko) 2015-09-11
KR20170036128A (ko) 2017-03-31
TW201442236A (zh) 2014-11-01
US9219070B2 (en) 2015-12-22
CN104956485A (zh) 2015-09-30

Similar Documents

Publication Publication Date Title
JP6122153B2 (ja) 3−dメモリアレイ
CN107706190B (zh) 存储器单元的高度上延伸的串的阵列及其形成方法
US9997537B2 (en) Semiconductor devices including gate insulation layers on channel materials and methods of forming the same
US9905572B2 (en) Vertical memory devices with vertical isolation structures and methods of fabricating the same
US9431414B2 (en) Vertical memory devices and methods of manufacturing the same
US8890229B2 (en) Nonvolatile semiconductor memory device
US20160190147A1 (en) Semiconductor memory device and method for manufacturing the same
US20140027838A1 (en) Semiconductor device and method for manufacturing the same
US8877587B2 (en) Nonvolatile memory device and method for fabricating the same
US10475809B2 (en) Semiconductor memory device
EP3017474A1 (en) Semiconductor devices including stair step structures, and related methods
CN102468280A (zh) 三维半导体器件
US10319736B2 (en) Vertical memory structure with stress-inducing insulating layers
US20130234338A1 (en) Semiconductor device and method for manufacturing the same
US11637115B2 (en) Vertical non-volatile memory device
KR20160008404A (ko) 반도체 장치
US20180323204A1 (en) Semiconductor memory device
US20120217584A1 (en) Semiconductor memory device
US9030020B2 (en) Semiconductor memory device
US9455265B2 (en) Semiconductor 3D stacked structure and manufacturing method of the same
US20070026609A1 (en) Non-volatile memory and fabricating method thereof
CN107431074B (zh) 半导体存储器
US10026748B2 (en) Stacked type semiconductor memory device and method for manufacturing same
JP2015115505A (ja) 半導体構造およびその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150925

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170328

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170330

R150 Certificate of patent or registration of utility model

Ref document number: 6122153

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250