JP2012524399A - 一時的なウェハーボンディング及びデボンディングのための改善された装置 - Google Patents

一時的なウェハーボンディング及びデボンディングのための改善された装置 Download PDF

Info

Publication number
JP2012524399A
JP2012524399A JP2012505938A JP2012505938A JP2012524399A JP 2012524399 A JP2012524399 A JP 2012524399A JP 2012505938 A JP2012505938 A JP 2012505938A JP 2012505938 A JP2012505938 A JP 2012505938A JP 2012524399 A JP2012524399 A JP 2012524399A
Authority
JP
Japan
Prior art keywords
wafer
chuck
plate
assembly
debonder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012505938A
Other languages
English (en)
Other versions
JP5439583B2 (ja
JP2012524399A5 (ja
Inventor
ジョージ,グレゴリー
ジョンソン,ヘイル
ゴールン,パトリック
ヒューレット,エメット
ハーマノウスキー,ジェームズ
スティルス,マシュー
クンラ,マイケル
パトリシオ,デニス
Original Assignee
スス マイクロテク リソグラフィー,ゲーエムベーハー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by スス マイクロテク リソグラフィー,ゲーエムベーハー filed Critical スス マイクロテク リソグラフィー,ゲーエムベーハー
Publication of JP2012524399A publication Critical patent/JP2012524399A/ja
Publication of JP2012524399A5 publication Critical patent/JP2012524399A5/ja
Application granted granted Critical
Publication of JP5439583B2 publication Critical patent/JP5439583B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/14Semiconductor wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/18Handling of layers or the laminate
    • B32B38/1858Handling of layers or the laminate using vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/918Delaminating processes adapted for specified product, e.g. delaminating medical specimen slide
    • Y10S156/93Semiconductive product delaminating, e.g. delaminating emiconductive wafer from underlayer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/918Delaminating processes adapted for specified product, e.g. delaminating medical specimen slide
    • Y10S156/93Semiconductive product delaminating, e.g. delaminating emiconductive wafer from underlayer
    • Y10S156/931Peeling away backing
    • Y10S156/932Peeling away backing with poking during delaminating, e.g. jabbing release sheet backing to remove wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • Y10S156/942Means for delaminating semiconductive product with reorientation means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • Y10S156/943Means for delaminating semiconductive product with poking delaminating means, e.g. jabbing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1126Using direct fluid current against work during delaminating
    • Y10T156/1132Using vacuum directly against work during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1153Temperature change for delamination [e.g., heating during delaminating, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1153Temperature change for delamination [e.g., heating during delaminating, etc.]
    • Y10T156/1158Electromagnetic radiation applied to work for delamination [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • Y10T156/1189Gripping and pulling work apart during delaminating with shearing during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1911Heating or cooling delaminating means [e.g., melting means, freezing means, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1911Heating or cooling delaminating means [e.g., melting means, freezing means, etc.]
    • Y10T156/1917Electromagnetic radiation delaminating means [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1928Differential fluid pressure delaminating means
    • Y10T156/1944Vacuum delaminating means [e.g., vacuum chamber, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1961Severing delaminating means [e.g., chisel, etc.]
    • Y10T156/1967Cutting delaminating means
    • Y10T156/1972Shearing delaminating means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1978Delaminating bending means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/18Pivoted jaw
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/21Chucks or sockets with measuring, indicating or control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/26Chucks or sockets with centering means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/29More than one set of gripping means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling
    • Y10T29/49817Disassembling with other than ancillary treating or assembling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53274Means to disassemble electrical device

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Press Drives And Press Lines (AREA)

Abstract

一時的なウェハーボンディングのための改善された装置が一時的なボンダークラスター及びデボンダークラスターを備える。一時的なボンダークラスターは、接着剤層によるボンディング、接着剤層とリリース層との組み合わせによるボンディング及びUV光硬化性接着剤層とレーザー吸収リリース層との組み合わせによるボンディングを含む電子ウェハーボンディング工程を行う一時的なボンダーモジュールを備える。デボンダークラスターは、熱摺動デボンダー、機械的デボンダー及び照射デボンダーを備える。
【選択図】図1

Description

本発明は、一時的な半導体ウェハーボンディング及びデボンディングのための改善された装置に関し、より詳細には、種々の一時的なウェハーボンディング能力及びデボンディング能力を備えた、工業規模の一時的なウェハーボンディング装置に関する。
[関連の同時係属中の出願の相互参照]
本願は、2009年4月16日に出願された、「IMPROVEDAPPARATUS FOR TEMPORARY WAFER BONDING」と題する米国仮特許出願第61/169,753号(この内容は参照により本明細書に明示的に援用される)の利益を主張する。
いくつかの半導体ウェハー工程はウェハー薄化ステップを含む。用途によっては、ウェハーは、集積回路(IC)デバイスの作製のために100マイクロメートル未満の厚さまで薄化される。薄いウェハーは、作製されたICデバイスの熱除去が改善されていると共にその電気的動作がより良好であるという利点を有する。一例では、GaAsウェハーは、熱除去が改善されているパワーCMOSデバイスを作製するのに25マイクロメートルまで薄化される。ウェハー薄化は、デバイス容量の低減及びそのインピーダンスの増加にも寄与し、その双方の結果、作製されたデバイスのサイズ全体が縮小する。他の用途では、ウェハー薄化は、3D集積ボンディング及びスルーウェーハビア(through wafer vias)の作製に用いられる。
ウェハー薄化は通常、バックグラインド(back-grinding:裏面研削)及び/又は化学機械研磨(CMP)を介して行われる。CMPは、スラリー液の存在下でウェハー表面を硬質の平坦な回転式水平プラッターと接触させることを伴う。スラリーは通常、アンモニア、フッ化物又はそれらの組み合わせのような化学エッチング液と共にダイヤモンド又は炭化ケイ素のような研磨粉を含有している。エッチング液により基板表面をサブミクロンレベルで研磨しながら、研磨剤により基板を薄化させる。ウェハーは、目標厚さを達成するために或る特定量の基板が除去されるまで研磨剤との接触を維持される。
200マイクロメートルを超えるウェハー厚の場合、ウェハーは通常、真空チャックを利用する固定具又は機械的取付けの何らかの他の手段により所定位置に保持される。しかしながら、200マイクロメートル未満のウェハー厚、特に100マイクロメートル未満のウェハーの場合、薄化中、ウェハーを機械的に保持してウェハーの平坦度及び完全性の制御を維持することはますます困難となっている。これらの場合、実際には、ウェハーがCMP中に微小破壊を発生し破損することがよく見られる。
薄化中、ウェハーを機械的に保持することの一代替例は、デバイスウェハー(すなわち処理されてデバイスになるウェハー)の第1の表面をキャリアウェハーに取着すること、及び露出した対向するデバイスウェハー表面を薄化することを伴う。キャリアウェハーとデバイスウェハーとの結合(bond:接合)は一時的なものであり、薄化及び任意の他の処理ステップが完了すると分離される。
処理後に化学的に溶融される接着剤化合物を用いること、又は処理後に熱的に若しくは照射を介して分解される接着剤テープ若しくは接着剤層を用いることを含む、いくつかの一時的なボンディング技法が提案されている。これらの技法のほとんどは、デバイスウェハー及びキャリアウェハーに固有のものであり、カスタマイズされた機器を必要とする。上述の一時的なボンディング技法のうちの2つ以上を様々なタイプのデバイスウェハー/キャリアウェハー組み合わせを処理するのに適用することができる装置を提供することが望ましい。
包括的には、本発明は、一態様では、一時的なボンダーモジュールのクラスター及びデボンダーモジュールのクラスターを含む、電子ウェハー構造体の一時的なボンディング及びデボンディングのための改善された装置を特徴とする。該一時的なボンダーモジュールのクラスターは、接着剤層によるボンディング、接着剤層とリリース層との組み合わせのボンディング又はUV光硬化性接着剤層とレーザー吸収リリース層との組み合わせによるボンディングのうちの少なくとも1つを含む電子ウェハーボンディング工程を行うように構成されている。デボンダーモジュールのクラスターは、該一時的なボンダーモジュールによって行われた該電子ウェハーボンディング工程を介してボンディングされた電子ウェハーをデボンディングするデボンディング工程を行うように構成されており、該デボンディング工程は、熱摺動(thermal slide)デボンダー、機械的デボンダー又は照射デボンダーのうちの少なくとも1つを含む。
包括的には、本発明は、別の態様では、一時的なボンダーモジュールのクラスター及びデボンダーモジュールのクラスターを含む、電子ウェハー構造体の一時的なボンディング及びデボンディングのための改善された装置を特徴とする。該一時的なボンダーモジュールのクラスターは、接着剤層を介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第1のボンダーモジュール、及び接着剤層とリリース層との組み合わせを介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第2のボンダーモジュールを含む。デボンダーモジュールのクラスターは、熱摺動デボンダー及び機械的デボンダーを含む。該熱摺動デボンダーモジュールは、接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む。該熱摺動デボンダー機器は、該ボンディングされた2つのウェハーを加熱する手段、及び熱が加えられている間に一方のウェハーを他方のウェハーに対して摺動させる手段を含む。該機械的デボンダーモジュールは、接着剤層とリリース層との組み合わせを介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む。該機械的デボンダーモジュール機器は、該ボンディングされた2つのウェハーを加熱する手段、及び熱が加えられている間に一方のウェハーを他方から離すように機械的に押す手段を含む。
本発明のこの態様の実施態様は、以下の特徴のうちの1つ又は複数を含むことができる。一時的なボンダーモジュールのクラスターは、UV光硬化性接着剤層とレーザー吸収リリース層との組み合わせを介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第3のボンダーモジュールを更に含む。該デボンダーモジュールのクラスターは、UV光硬化性接着剤層とレーザー吸収リリース層との組み合わせを介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む、照射デボンダーモジュールを更に含む。該照射デボンダー機器は、該ボンディングされた2つのウェハーにレーザー照射を加える手段、及び一方のウェハーを他方から離すように機械的に分離する手段を含む。該一時的なボンダーモジュール及びデボンダーモジュールは縦に積み重ねられる。該第1のボンダーモジュール機器は、該接着剤層をキャリアウェハーの表面上に施す手段と、該施された接着剤層を焼成する手段及び冷却する手段と、デバイスウェハーの表面上に保護層を施す手段と、該施された保護層を焼成する手段及び冷却する手段と、該接着剤層が該保護層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対を加熱し、それによって、ボンディングされたウェハー対を形成する手段とを備える。該第2のボンダーモジュール機器は、デバイスウェハーの表面上にリリース層を形成する手段及び該形成されたリリース層上に第1の接着剤層を施す手段と、キャリアウェハーの表面上に第2の接着剤層を施す手段と、該第2の接着剤層が該第1の接着剤層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対を加熱し、それによって、ボンディングされたウェハー対を形成する手段とを備える。該第3のボンダーモジュール機器は、デバイスウェハーの表面上にUV光硬化性接着剤層を施す手段と、キャリアウェハーの表面上にレーザー吸収リリース層を施す手段と、該レーザー吸収リリース層が該UV光硬化性接着剤層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対にUV光をあて、それによって、ボンディングされたウェハー対を形成する手段とを備える。該ボンダーモジュールのいずれかは、上側ブロックアセンブリと、該上側ブロックアセンブリの下に対向して配置される下側ブロックアセンブリと、該上側ブロックアセンブリと該下側ブロックアセンブリとの間に設けられており、該上側ブロックアセンブリと該下側ブロックアセンブリとの間の容積を囲んでシールする入れ子式カーテンとを含む。該シールされる容積は、該ボンダーモジュール機器を収容する一時的なボンディングチャンバーを画定する。該ボンダーモジュールはまた、該一時的なボンディングチャンバーを真空排気する手段と、該一時的なボンディングチャンバーにガスを供給する手段とを含む。該ボンダーモジュールは、2つ以上のZガイドポストを更に含む。該上側ブロックアセンブリ及び該下側ブロックアセンブリは該Zガイドポストと可動接続される。該下側ブロックアセンブリは、上面及び下面を有するヒーター板であって、該ヒーター板の上面は、第1のウェハーを支持及び加熱するように構成されている、ヒーター板を含む。該下側ブロックアセンブリはまた、上面及び下面を有する断熱層であって、該断熱層の上面は該ヒーター板の下面と接触している、断熱層を含む。該下側ブロックアセンブリはまた、上面及び下面を有する冷却支持フランジであって、該冷却支持フランジの上面は該断熱層の下面と接触している、冷却支持フランジを含む。該下側ブロックアセンブリはまた、該冷却支持フランジの下に配置されており、該冷却支持フランジを通る3つ以上の移送ピンを支持する、移送ピンステージであって、該断熱層及び該ヒーター板は該第1のウェハーを昇降させるように構成されている、移送ピンステージを含む。該下側ブロックアセンブリはまた、精密なZドライブ、及びサブミクロン位置制御用リニアエンコーダーフィードバックを含むZ軸ブロックドライブであって、該下側ブロックアセンブリをZ方向に上下に移動させるように構成されている、Z軸ブロックドライブを含む。該ヒーター板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを加熱するように構成された、独立して制御される2つの同心円状加熱ゾーンを含む。該ヒーター板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを該ヒーター板の上面上に保持するように構成された、独立して制御される2つの同心円状真空ゾーンを更に含む。該上側ブロックアセンブリは、第2のウェハーを保持するように構成された上側セラミックチャックと、静止チャンバー壁であって、該静止チャンバー壁に対して該入れ子式カーテンがシール要素によりシールを形成する、静止チャンバー壁と、200ミリメートル及び300ミリメートルの直径をそれぞれ有する同心円状の第1の膜層及び第2の膜層であって、該上側チャックと上部ハウジング壁との間でクランプされる、第1の膜層及び第2の膜層と、該上側セラミックチャックをレベリングして該上部ハウジング壁に対してクランプするように構成された3つ以上の調整可能なレベリングクランプ/ドライブアセンブリとを含む。該第1の膜層及び該第2の膜層は、200ミリメートル及び300ミリメートルをそれぞれ有するウェハーを保持するように設計された別個の第1の真空ゾーン及び第2の真空ゾーンを形成する。該膜層はエラストマー材料又は金属ベローズを含む。該クランプ/ドライブアセンブリは、該上側セラミックチャックを、並進させることなく、該保持された第2のウェハーの中心部に対応する中心点を中心に回転及び/又は傾斜させるウェッジエラー補償(Wedge Error Compensating)機構を更に備える。該装置は、該ボンダーモジュールのいずれかにおいて該第1のウェハー及び該第2のウェハーをプリアライメント、ローディング及びアンローディングするように構成された機械的センタリング機構を更に備えることができる。該機械的センタリング機構は、2つのプリアライメントアーム及び静止ジョーを含む。各プリアライメントアームはその第1の端に機械的ジョーを備え、該機械的ジョーは、該第1のウェハー及び該第2のウェハーの湾曲エッジに合致するテーパー面を含む該静止ジョーは、該第1のウェハー及び該第2のウェハーの該湾曲エッジに合致するテーパー面を有する。
包括的には、本発明は、別の態様では、以下のステップを含む、2つのウェハー表面を一時的にボンディングするための方法を特徴とする。まず、対して反対にある第1のウェハー表面及び第2のウェハー表面を含む第1のウェハーを準備するステップ。次に、対して反対にある第1のウェハー表面及び第2のウェハー表面を含む第2のウェハーを準備するステップ。次に、該第2のウェハーの該第1の表面上に接着剤層を施すステップ。次に、上側ブロックアセンブリと、該上側ブロックアセンブリの下に対向して配置される下側ブロックアセンブリと、該上側ブロックアセンブリと該下側ブロックアセンブリとの間に設けられており、該上側ブロックアセンブリと該下側ブロックアセンブリとの間の容積を囲んでシールする入れ子式カーテンであって、該シールされる容積は一時的なボンディングチャンバーを画定する、入れ子式カーテンと、該一時的なボンディングチャンバーを真空排気する手段と、該一時的なボンディングチャンバーにガスを供給する手段とを備える、ボンダーモジュールを準備するステップ。次に、該第1のウェハーを該ボンダーモジュールに挿入し、その第1の表面が下向きになるように該上側ブロックアセンブリによって該第1のウェハーを保持する、挿入及び保持するステップ。次に、該第2のウェハーを該ボンダーモジュールに挿入し、該接着剤層が該第1のウェハーの第1の表面に対向するように該下側ブロックアセンブリ上に該第2のウェハーを載置する、挿入及び載置するステップ。次に、該第1のウェハー及び該第2のウェハーをセンタリングし、該第1のウェハーの第1の表面が該第2のウェハーの該接着剤層に対向して平行になるようにアライメントする、センタリング及びアライメントするステップ。次に、該接着剤層と該第1のウェハーの該第1の表面との間に狭まったプロセス間隙(close process gap)を形成するように、該下側ブロックアセンブリを上方に移動させるステップ。次に、該入れ子式カーテンを閉鎖するステップであって、それによって、該第1のウェハー及び該第2のウェハーを囲む一時的なボンディングチャンバーを形成する、閉鎖するステップ。次に、該第1のウェハーが機械的ジョーを介して保持されている間、該一時的なボンディングチャンバーを最初の深い真空に真空排気するステップ。該最初の深い真空に達すると、該一時的なボンディングチャンバーの圧力を該最初の深い真空を超えて僅かに上げるように該一時的なボンディングチャンバーにガスを供給するステップであって、それによって、該第1のウェハーを該上側ブロックアセンブリと接触状態に保持する差圧を生じさせる、供給するステップ。次に、該下側ブロックアセンブリを、該接着剤層を該第1のウェハーの該第1の表面と接触させるように上方に移動させるステップ。次に、該第1のウェハー及び該第2のウェハーを該接着剤層の融点を超えるプロセス温度に加熱しながら、該上側ブロックアセンブリを介して該第1のウェハー及び該第2のウェハーに力を加えるステップであって、それによって、一時的にボンディングされたウェハー対を形成する、力を加えるステップ。次に、該ボンディングされたウェハー対を冷却し、該ボンダーモジュールからアンローディングする、冷却しアンローディングするステップ。
本発明のこの態様の実施態様は、以下の特徴のうちの1つ又は複数を含むことができる。 該上側ブロックアセンブリは半順応性チャックを含み、該力は、該半順応性チャックを介して該第1のウェハー及び該第2のウェハーの結合界面に対して垂直に加えられる。該上側ブロックは非順応性チャックを含み、該方法は、該下側ブロックアセンブリの上方移動を介して、該ボンディングされたウェハー対における該接着剤層の最終厚を制御することをさらに含む。
包括的には、本発明は、一態様では、上部チャックアセンブリ、下部チャックアセンブリ、該上部チャックアセンブリを支持する静止ガントリー、該下部チャックアセンブリを支持するX軸キャリッジドライブ及びX軸ドライブ制御部を含む、接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするためのデボンダー装置を提供する。該上部チャックアセンブリはヒーター及びウェーハホルダーを含む。該X軸ドライブ制御部は、ローディングゾーンから該上部チャックアセンブリの下のプロセスゾーンまで、及び、該プロセスゾーンから該ローディングゾーンに戻るまで、該下部チャックアセンブリを水平に駆動する。接着剤層を介してデバイスウェハーにボンディングされたキャリアウェハーを含むウェハー対が、該デバイスウェハーのボンディングされていない表面が該下部アセンブリと接触するように配向された該ローディングゾーンにおいて該下部チャックアセンブリ上に載置され、該X軸キャリッジドライブによって該上部チャックアセンブリの下の該プロセスゾーンに運ばれ、該キャリアウェハーのボンディングされていない表面は該上部チャックアセンブリと接触して載置される。該X軸ドライブ制御部は、該ウェハー対に該ヒーターを介して熱が加えられている間、及び、該キャリアウェハーが該ウェハーホルダーを介して該上部チャックアセンブリによって保持されている間、該X軸に沿って該X軸キャリッジドライブの水平の移動を開始し、それによって、該デバイスウェハーを該キャリアウェハーから分離させて離れるように摺動させる。
本発明のこの態様の実施態様は、以下の特徴のうちの1つ又は複数を含むことができる。デボンダーは、該下部チャックアセンブリ上に載置されたウェハーを昇降させるように設計されたリフトピンアセンブリを更に含む。デボンダーは、該X軸キャリッジドライブ及び該静止ガントリーを支持するベース板を更に含む。該ベース板は、ハニカム構造体及び防振支持体又は花崗岩板を含む。該下部チャックアセンブリは、低熱質量セラミック材料を含む下部チャックを含み、該X軸キャリッジドライブ上の該X軸に沿って水平に摺動するように設計されていると共に、該Z軸を中心に捻るように設計されている。該X軸キャリッジドライブはエアベアリングキャリッジドライブを含む。該デボンダーは、該X軸に沿って該X軸キャリッジドライブをその水平移動にガイドする2つの平行な横キャリッジ案内トラックを更に含む。該上部チャックアセンブリは、該静止ガントリーにボルト締めされた上部支持チャックと、該上部支持チャックの下面と接触しているヒーター支持板と、なお、前記ヒーターは該ヒーター支持板の該下面と接触しており、該ヒーターと接触している上部ウェハー板と、該上部ウェハー板をZ方向に移動させ、該上部ウェハー板を該キャリアウェハーのボンディングされていない表面と接触させて載置する、Z軸ドライブと、該上部ウェハー板をレベリングし、該上部ウェハー板のウェッジエラー補償を提供する板レベリングシステムとを更に含む。該ウェハーホルダーは該キャリアウェハーの真空引きとすることができる。該板レベリングシステムは、該ヒーターを該上部支持チャックに接続する3つのガイドシャフト、及び3つの空気圧作動式分割クランプを含む。該ヒーターは、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを加熱するように構成された、独立して制御される2つの同心円状加熱ゾーンを含む。
概して、別の態様では、本発明は、以下のステップを含む、接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするための方法を特徴とする。まず、上部チャックアセンブリと、下部チャックアセンブリと、該上部チャックアセンブリを支持する静止ガントリーと、該下部チャックアセンブリを支持するX軸キャリッジドライブと、該X軸キャリッジドライブ及び該下部チャックアセンブリを、ローディングゾーンから該上部チャックアセンブリの下のプロセスゾーンまで、及び、該プロセスゾーンから該ローディングゾーンに戻るまで、水平に駆動するように構成されたX軸ドライブ制御部とを備えるボンダーを準備するステップ。次に、デバイスウェハーのボンディングされていない表面が該下部アセンブリと接触するように配向された該ローディングゾーンにおいて該下部チャックアセンブリ上に接着剤層を介して該デバイスウェハーにボンディングされたキャリアウェハーを含むウェハー対をローディングするステップ。次に、該X軸キャリッジドライブ及び該下部チャックアセンブリを該上部チャックアセンブリの下の該プロセスゾーンに駆動するステップ。次に、該キャリアウェハーのボンディングされていない表面を該上部チャックアセンブリに接触させて積置し、該上部チャックアセンブリによって該キャリアウェハーを保持する、積置し保持するステップ。次に、該キャリアウェハーを、該上部チャックアセンブリ内に含まれているヒーターにより加熱するステップ。最後に、熱が該キャリアウェハーに加えられている間、及び、該キャリアウェハーが該上部チャックアセンブリによって保持されている間、該X軸ドライブ制御部によってX軸に沿って該X軸キャリッジドライブの水平移動を開始するステップであって、それによって、該デバイスウェハーを該キャリアウェハーから分離して離すように摺動させる、開始するステップ。
概して、一態様では、本発明は、チャックアセンブリ、屈曲板アセンブリ及び接触ローラーを含む、リリース層と組み合わされた接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするデボンダー装置を特徴とする。該チャックアセンブリは、チャック、及び該チャックの上面と接触状態にウェハーを保持するように構成された第1のウェハーホルダーを含む。該屈曲板アセンブリは、屈曲板、及び該屈曲板の第1の表面と接触状態にウェハーを保持するように構成された第2のウェハーホルダーを含む。該屈曲板は、ヒンジに接続された第1の縁、及び該第1の縁に直径方向に対向する第2の縁を含み、該屈曲板の第1の縁は該チャックの第1の縁に隣接して配置され、該屈曲板は、該ヒンジを中心に揺動するように構成されていると共に、該チャックの該上面よりも上に載置されるように構成されている。該接触ローラーは、該チャックの第2の縁に隣接して配置され、該チャックの該第2の縁はその第1の縁と直径方向に対向している。デボンドドライブモーターが、該チャックの上面の平面に対して垂直に該接触ローラーを移動させるように構成されている。動作時には、デバイスウェハーに積層され、接着剤層及びリリース層を介して該デバイスウェハーにボンディングされるキャリアウェハーを含むウェハー対が、該デバイスウェハーのボンディングされていない表面が該チャックの上面と接触するように該チャック上に載置される。次に、該屈曲板は該ヒンジを中心に揺動し、その第1の表面が該キャリアウェハーのボンディングされていない表面に接触するように該下部チャックの上に載置される。次に、該接触ローラーは、該第2のウェハーホルダー及び該第1のウェハーホルダーをそれぞれ介して、該キャリアウェハーが該屈曲板によって保持されていると共に該デバイスウェハーが該チャックによって保持されている間、該屈曲板の該第2の縁と接触して該屈曲板の該第2の縁を押し上げるまで上方に駆動される。該接触ローラーは該屈曲板の該第2の縁を押して屈曲させ、該リリース層に沿って該ウェハー対の離層を生じさせる。
本発明のこの態様の実施態様は、以下の特徴のうちの1つ又は複数を含むことができる。デボンダーは、ヒンジを駆動するヒンジモーターを更に備えることができる。該第1のホルダー及び該第2のホルダーは、該チャック及び該屈曲板をそれぞれ通じての真空引きを含む。該ウェハー対はテープフレームを更に含み、該デバイスウェハーは、該チャックを通じての真空引きによって該テープフレームを保持することにより該チャックによって保持される。該デボンダーは、該チャックアセンブリ、該屈曲板アセンブリ及び該ヒンジを支持する支持板を更に含む。該デボンダーは、該支持板、該接触ローラー、該ヒンジモーター及び該デボンドドライブモーターを支持するベース板を更に含む。該屈曲板アセンブリは、該屈曲板の該第1の表面上に載置されたウェハーを昇降させるように設計されるリフトピンアセンブリを更に含む。該屈曲板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを保持するように構成された、独立して制御される2つの同心円状真空ゾーンを更に含む。該真空ゾーンはOリング又は吸着盤のうちの一方を介してシールされる。該チャックは多孔質セラミック材料から作製される真空チャックを含む。該デボンダーは、該屈曲板の不慮のバックスイングを防止するように構成されたアンチバックラッシュギアドライブを更に含む。
概して、別の態様では、本発明は、リリース層と組み合わせた接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするための方法を特徴とする。該方法は以下のステップを含む。まず、チャックアセンブリと、屈曲板アセンブリと、接触ローラーとを備える、デボンド装置を準備するステップ。該チャックアセンブリは、チャック、及び該チャックの上面と接触状態にウェハーを保持するように構成された第1のウェハーホルダーを含む。該屈曲板アセンブリは、屈曲板、及び該屈曲板の第1の表面と接触状態にウェハーを保持するように構成された第2のウェハーを含む。該屈曲板は、ヒンジに接続された第1の縁及び該第1の縁に直径方向に対向している第2の縁を含み、該屈曲板の第1の縁は、該チャックの第1の縁に隣接して配置され、該屈曲板は、該ヒンジを中心に遥動するように構成されていると共に、該チャックの該上面の上に載置されるように構成されている。該接触ローラーは、該チャックの第2の縁に隣接して配置され、該チャックの該第2の縁はその第1の縁に直径方向に対向している次に、デバイスウェハーに積層され、接着剤層及びリリース層を介して該デバイスウェハーにボンディングされるキャリアウェハーを含むウェハー対を準備するステップ。次に、該デバイスウェハーのボンディングされていない表面が該チャックの上面と接触するように該ウェハー対を該チャックに載置するステップ。次に、該ヒンジを中心に該屈曲板を揺動させ、前記屈曲板をその表面が該キャリアウェハーのボンディングされていない表面に接触するように該下部チャックの上に載置する、揺動させ載置するステップ。次に、該第2のウェハーホルダー及び該第1のウェハーホルダーをそれぞれ介して、該キャリアウェハーが該屈曲板によって保持されていると共に該デバイスウェハーが該チャックによって保持されている間、該接触ローラーが該屈曲板の該第2の縁と接触して該屈曲板の該第2の縁を押し上げるまで該接触ローラーを上方に駆動するステップ。最後に、該接触ローラーは、該屈曲板の該第2の縁を押して屈曲させ、該リリース層に沿って該ウェハー対の離層を生じさせる。
概して、一態様では、本発明は、センタリングすべき円形のウェハーを上面で支持する支持チャックと、第1の回転移動可能なアライメントアーム及び第2の回転移動可能なアライメントアームと、第3の直線移動アライメントアームとを含む、円形のウェハーをセンタリングする機構を特徴とする。該第1の回転移動可能なアライメントアーム及び該第2の回転移動可能なアライメントアームは、該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第1の機械的ジョー及び第2の機械的ジョーをそれぞれ備える。該第1の機械的ジョー及び該第2の機械的ジョーは該円形のウェハーの湾曲エッジと合致する湾曲テーパー縁面を含む。該第3の直線移動アライメントアームは、該円形のウェハーの該湾曲エッジに合致する湾曲テーパー内面を含む。該第1のアライメントアーム、該第2のアライメントアーム及び該第3のアライメントアームは互いから120度の角度で該支持チャックの周りに配置される。動作時には、該支持チャック上に載置される円形のウェハーは、該第1の機械的ジョーの該湾曲テーパー縁面及び該第2の機械的ジョーの該湾曲テーパー縁面が第1の周囲領域及び第2の周囲領域それぞれにおいて該円形のウェハーの外周に接触するように、該支持チャックの中心部に向けて該第1のアライメントアーム及び該第2のアライメントアームを回転させることによって、また、その湾曲テーパー内面が第3の周囲領域において該円形のウェハーの外周に接触するように該支持チャックの中心部に向けて該第3のアライメントアームを直線移動させることによってセンタリング及びアライメントされる。該第1の周囲領域、該第2の周囲領域及び該第3の周囲領域は互いから120度の角度ずつ分けられている。該機械的ジョーは、200ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第1の湾曲テーパー縁面、及び300ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第2の湾曲テーパー縁面を有することができる。
概して、別の態様では、本発明は、センタリングすべき円形のウェハーを上面で支持する支持チャックと、第1の回転移動可能なアライメントアーム、第2の回転移動可能なアライメントアーム及び第3の回転移動可能なアライメントアームとを含む、円形のウェハーをセンタリングする機構を特徴とする。該第1の回転移動可能なアライメントアーム、該第2の回転移動可能なアライメントアーム及び該第3の回転移動可能なアライメントアームは、該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、それぞれ第1の機械的ジョー、第2の機械的ジョー及び第3の機械的ジョーを備え、該第1の機械的なジョーは、該円形のウェハーの湾曲エッジに合致する湾曲テーパー縁面を含む。該第1のアライメントアーム、該第2のアライメントアーム及び該第3のアライメントアームは、互いから120度の角度で該支持チャックの周りに配置される。該第1の機械的ジョー、該第2の機械的ジョー及び該第3の機械的ジョーの該湾曲テーパー縁面が第1の周囲領域、第2の周囲領域及び第3の周囲領域それぞれにおいて該円形のウェハーの外周に接触するように、該支持チャックの中心部に向けて該第1のアライメントアーム、該第2のアライメントアーム及び該第3のアライメントアームを回転させることによって、該支持チャック上に載置された円形のウェハーをセンタリング及びアライメントする。該第1の周囲領域、該第2の周囲領域及び該第3の周囲領域は互いに120度の角度ずつ分けられている。該機械的ジョーは、200ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第1の湾曲テーパー縁面、及び300ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第2の湾曲テーパー縁面を有することができる。
概して、別の態様では、本発明は、センタリングすべき円形のウェハーを上面で支持する支持チャックと、左センタリングリンク機構ロッド、右センタリングリンク機構ロッド及び中央センタリングリンク機構ロッドと、該左センタリングリンク機構ロッド、該右センタリングリンク機構ロッド及び該中央センタリングリンク機構ロッドの直線状の動き(rectilinear motion)を同期するカム板とを含む、円形のウェハーをセンタリングする機構を特徴とする。該左センタリングリンク機構ロッドは、第1の端に第1の回転アームを含み、該左センタリングリンク機構ロッドの直線状の動きが該第1の回転アームの回転の動きに変わる。該第1の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの湾曲エッジに対してロールするように構成された湾曲縁面を含む。該右センタリングリンク機構ロッドは、第1の端に第2の回転アームを含み、該右センタリングリンク機構ロッドの直線状の動きが該第2の回転アームの回転の動きに変わる。該第2の回転アームは、該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの該湾曲エッジに対してロールするように構成された湾曲縁面を含む。該中央センタリングリンク機構ロッドは、第1の端に第3のアライメントアームを含む。該第3のアライメントアームは、該円形のウェハーの該湾曲エッジに接触して載置され、該Y方向への該中央センタリングリンク機構ロッドの直線移動により該第3のアライメントアーム及び該円形のウェハーを該支持チャックの中心部に対して接離するように押す。該カム板は第1の直線カムプロファイル(linear cam profiles)及び第2の直線カムプロファイルを含む。該第1のカムプロファイルは、該中央センタリングリンク機構ロッドに直線状の動きを与え、該第2の直線カムプロファイルは、該左センタリングリンク機構ロッド及び該右センタリングリンク機構ロッドに直線状の動きを与える。
本発明のこの態様の実施態様は、以下の特徴のうちの1つ又は複数を含むことができる。該第1のカム直線プロファイル及び該第2のカム直線プロファイルは、互いに対して及びY方向に対して或る角度で配置される表面を含む。該機構は、該左センタリングリンク機構ロッド及び該右センタリングリンク機構ロッドの第2の端に取着される接続ロッドを更に含み、該接続ロッドは該カム板の該第2の直線カムプロファイルに沿ってロールするように構成されている。該中央センタリングリンク機構ロッドは第2の端にローラーを含み、該ローラーは該カム板の該第1の直線カムプロファイルに沿ってロールするように構成されている。該機構は、モーター及びリニアスライドを更に含み、該カム板は該リニアスライドに固定され、該モーターは該リニアスライド、したがって該カム板に対して直線状の動きを与える。該機構はまた、該第1のアライメントアーム、該第2のアライメントアーム及び該第3のアライメントアームが該円形のウェハーの該湾曲エッジと接触していることを示すセンサーを更に含む。該センサーは、線形可変差動変圧器(LVDT:Linear Variable Differential Transformer)又は電気センサーとすることができる。
包括的には、本発明は、別の態様では、センタリングすべき円形のウェハーを上面で支持する支持チャックと、左センタリングリンク機構ロッド、右センタリングリンク機構ロッド及び中央センタリングリンク機構ロッドと、該左センタリングリンク機構ロッド、該右センタリングリンク機構ロッド及び該中央センタリングリンク機構ロッドの直線状の動きを同期する第1のカム板及び第2のカム板とを含む、円形のウェハーをセンタリングする機構を特徴とする。該左センタリングリンク機構ロッドは、第1の端に第1の回転アームを含み、該左センタリングリンク機構ロッドの直線状の動きが該第1の回転アームの回転の動きに変わる。該第1の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの湾曲エッジに対してロールするように構成された湾曲縁面を含む。該右センタリングリンク機構ロッドは、第1の端に第2の回転アームを含み、該右センタリングリンク機構ロッドの直線状の動きが該第2の回転アームの段階的な回転の動きに変わる。該第2の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの該湾曲エッジに対してロールするように構成された湾曲縁面を含む。該中央センタリングリンク機構ロッドは、第1の端に第3のアライメントアームを含み、該第3のアライメントアームは該円形のウェハーの該湾曲エッジと接触して載置される。該Y方向への該中央センタリングリンク機構ロッドの直線移動は、該第3のアライメントアーム及び該円形のウェハーを該支持チャックの中心部に対して接離するように押す。該第1のカム板及び該第2のカム板は、第1の直線カムプロファイル及び第2の直線カムプロファイルをそれぞれ含み、該第1のカムプロファイルは該左センタリングリンク機構ロッドに直線状の動きを提供し、該第2のカムプロファイルは該右リンク機構ロッドに直線状の動きを提供する。リニアスライドが、該中央センタリングリンク機構ロッドの第2の端に接続され、該中央センタリングリンク機構ロッドに該Y方向への直線移動を与える。該第1のカム板及び該第2のカム板は、第1の接続ロッド及び第2の接続ロッドをそれぞれ介して該リニアスライドに接続され、該Y方向への該リニアスライドの直線移動は、該X方向への該第1のカム板及び該第2のカム板の直線移動に変換される。
本発明の1つ又は複数の実施形態の詳細を、添付の図面及び下記の説明において記載する。本発明の他の特徴、目的及び利点は、好ましい実施形態の以下の説明、図面及び特許請求の範囲から明らかとなるであろう。
図面を参照する際、同様の符号はいくつかの図面全体を通して同様の部品を表す。
本発明による改善された一時的なウェハーボンダー及びデボンダーシステムの全体概略図である。 図1のボンダーモジュールA及びデボンダーAそれぞれにおいて行われる一時的なウェハーボンディング工程A及びデボンディング工程Aの概略図である。 図1のボンダーモジュールAの概略断面図、及び図1Aの一時的なウェハーボンディング工程Aを行う工程ステップのリストである。 図1のボンダーモジュールB及びデボンダーBそれぞれにおいて行われる一時的なウェハーボンディング工程B及びデボンディング工程Bの概略図である。 図1のボンダーモジュールBの概略断面図、及び図2Aの一時的なウェハーボンディング工程Bを行う工程ステップのリストである。 図1のボンダーモジュールC及びデボンダーCそれぞれにおいて行われる一時的なウェハーボンディング工程C及びデボンディング工程Cの概略図である。 図1のボンダーモジュールCの概略断面図、及び図3Aの一時的なウェハーボンディング工程Cを行う工程ステップのリストである。 固定チャックの図である。 図1の一時的なウェハーボンダークラスターの図である。 図5の一時的なウェハーボンダークラスターの上側構造をより近くで見た図である。 図5の一時的なウェハーボンダークラスターの上側構造の断面図である。 図7の一時的なウェハーボンダークラスターのホットプレートモジュールを示す図である。 図7のウェハーボンダークラスターの一時的なボンドモジュールを示す図である。 図9の一時的なボンダーモジュールの概略的な断面図である。 ロード方向に対して垂直な、図9の一時的なウェハーボンダーモジュールの断面図である。 ロード方向と一致した、図9の一時的なウェハーボンダーモジュールの断面図である。 図9の一時的なウェハーボンダーモジュールにおける、上部チャックのレベリング調整を示す図である。 図9の一時的なウェハーボンダーモジュールの上部チャックの断面図である。 図9の一時的なウェハーボンダーモジュールの詳細断面図である。 プリアライメントアームが開位置にあるウェハーセンタリング機構を示す図である。 プリアライメントアームが閉位置にある、図16のウェハーセンタリング機構を示す図である。 A:300mmウェハーのプリアライメントを示す図である。B:200mmウェハーのプリアライメントを示す図である。 A:300mmウェハーのプリアライメントのための別のウェハーセンタリング機構を示す図である。B:200mmウェハーのプリアライメントのための、図19Aのウェハーセンタリング機構を示す図である。 C:回転アームが開位置にある、ウェハーのプリアライメントのための別のウェハーセンタリング機構を示す図である。D:回転アームが閉位置にある、図19Cのウェハーセンタリング機構を示す図である。 非接着性基板のローディング及び上側チャックへのその移送を示す図である。 非接着性基板のローディング及び上側チャックへのその移送を示す図である。 非接着性基板のローディング及び上側チャックへのその移送を示す図である。 接着性基板のローディング及び下側チャックへのその移送を示す図である。 接着性基板のローディング及び下側チャックへのその移送を示す図である。 接着性基板のローディング及び下側チャックへのその移送を示す図である。 接着性基板を非接着性基板と接触させること及びこれらの2つの基板間の一時的な結合の形成を示す図である。 接着性基板を非接着性基板と接触させること及びこれらの2つの基板間の一時的な結合の形成を示す図である。 図1の熱摺動デボンダーAの全体概略図である。 図23のデボンダーAの上部チャックアセンブリの断面図である。 図23のデボンダーAの断面側面図である。 熱摺動デボンダーAの動作ステップを示す図である。 熱摺動デボンダーAの動作ステップを示す図である。 熱摺動デボンダーAの動作ステップを示す図である。 図1の機械的デボンダーBの全体概略図である。 図27のデボンダーBの断面側面図である。 デボンダーBの動作ステップを示す図である。
図1を参照すると、一時的なウェハーボンディング及びデボンディングするための改善された装置100が一時的なボンダークラスター110及びデボンダークラスター120を備える。一時的なボンダークラスター110は、それぞれ210、310、410及び510である一時的なボンダーモジュールA、モジュールB、モジュールC及びモジュールDを含む。デボンダークラスター120は、熱摺動デボンダーA 150、機械的デボンダーB 250及び照射/機械的デボンダーC 350を含む。ボンダークラスター110は、特に図1A、図2A、図3A及び図4にそれぞれ示す、60a、70a、80a及び90aである一時的なボンディング工程A、B、C及びDを促進させる。デボンダークラスター120は、図1A、図2A及び図3Aにそれぞれ示す、60b、70b及び80bであるデボンディング工程A、B及びCを促進させる。
図1Aを参照すると、一時的なボンド工程A 60aは以下のステップを含む。初めに、デバイスウェハー20を保護コーティング21でコーティングし(62)、次いで、このコーティングを焼成及び冷却し(63)、次いで、ウェハーを裏返す(64)。キャリアウェハー30を接着剤層31でコーティングし(65)、次いで、このコーティングを焼成及び冷却する(66)。他の実施形態では、接着剤層をコーティングする代わりに、乾燥接着剤フィルムをキャリアウェハーに積層する。次に、裏返したデバイスウェハー20を、該デバイスウェハーの保護コーティング20aを有する表面がキャリアウェハー30の接着剤層30aを有する表面に対向するようにキャリアウェハーとアライメントし(67)、次いで、2つのウェハーを、図1Bに示す一時的なボンダーモジュールAにおいてボンディングする(68)。この結合は保護層21と接着剤層31との間の一時的な結合である。他の実施形態では、保護コーティングはデバイスウェハー表面に施されず、デバイスウェハー表面20aが接着剤層31と直接ボンディングされる。デバイスウェハーの例として、GaAsウェハー、シリコンウェハー、又は100マイクロメートル未満まで薄化される必要のある任意の他の半導体ウェハーが挙げられる。これらの薄いウェハーは、電力増幅器、又は良好な熱除去及び低力率が望ましい他の電力デバイスの作製のために軍事用途及び通信用途に用いられる。キャリアウェハーは通常、デバイスウェハーと熱的にマッチングする、すなわち、熱膨張係数(CTE)が同じである非汚染材料からなる。キャリアウェハー材料の例として、シリコン、ガラス、サファイア、石英又は他の半導体材料が挙げられる。キャリアウェハーの直径は通常、デバイスウェハーのエッジを支持すると共にデバイスウェハーのエッジの割れ又は欠けを防止するために、デバイスウェハーの直径と同じであるか又はそれよりも僅かに大きい。一例では、キャリアウェハー厚は約1000マイクロメートルであり、全厚変動(the total thickness variation:全厚のばらつき)(TTV)は2マイクロメートル〜3マイクロメートルである。キャリアウェハーは、デバイスウェハーからデボンディングされた後で再利用及び再使用される。一例では、接着剤層31はBrewer Science社(米国ミズーリ州所在)製の有機接着剤WaferBOND(商標)HT−10.10である。接着剤31はスピンオン工程を介して塗布され、9マイクロメートル〜25マイクロメートルの範囲の厚さを有する。スピン速度は1000rpm〜2500rpmの範囲であり、スピン時間は3秒〜60秒である。スピンオンの適用後、接着剤層を100℃〜150℃の温度で2分間焼成し、次いで、160℃〜220℃の温度で1分〜3分間硬化する。WaferBOND(商標)HT−10.10層は光学的に透明であり、220℃まで安定性がある。露出したデバイスウェハー表面20bの薄化後、キャリアウェハー30を、図1Aに示すデボンド工程A 60bを介してデボンディングする。デボンド工程A 60bは以下のステップを含む。初めに、接着剤層31が軟化してキャリアウェハー30が薄化したウェハーから摺動して外れるまでウェハー積層体10を加熱する(69)。WaferBOND(商標)HT−10.10のデボンディング時間は5分未満である。次いで、薄化したウェハー20を洗浄し、いかなる接着剤残渣も取り除き(52)、薄化したウェハーをダイシングフレーム25に載置する(53)。幾つかの実施形態では、キャリアウェハーの小さな回転運動(捻り)が摺動並進運動の前に行われる。
デバイスウェハー20へのキャリアウェハー30の一時的なボンディング(68)は、一時的なボンダーモジュールA、210において行われる。図1Bを参照すると、デバイスウェハー20は固定チャック202に載置されており、固定チャックはチャンバー210にローディングされている。キャリアウェハー30は接着剤層を表にして下部チャック210a上に直接載置されており、2つのウェハー20、30が積層されてアライメントしている。上部チャック210bが、積層されたウェハー上に降下して小さな力を加える。保護コーティング層21と接着剤層31との間に結合を形成するため、チャンバーを真空排気し、温度を200℃まで上げる。次に、チャンバーを冷却して固定具をアンローディングする。
デボンド工程A 60bは熱摺動デボンド工程であり、図1Aに示す以下のステップを含む。ボンディングされたウェハー積層体10を加熱することで接着剤層31を軟質にする。次いで、キャリアウェハーを、軸169を中心に捻り、次いで、制御された加えられた力及び速度下でウェハー積層体を摺動させて外す(69)。次いで、分離したデバイスウェハー20を洗浄し(52)、ダイシングフレーム25上に取り付ける(53)。
図2Aを参照すると、一時的なボンド工程B 70aは以下のステップを含む。初めに、リリース層22をデバイスウェハー20の表面20a上に形成する(72)。リリース層は、先に、前駆体化合物をデバイスウェハー表面20a上にスピンコーティングすることによって、次いで、市販されているPECVDチャンバーにおいてプラズマ強化化学蒸着法(PECVD)を行うことによって形成される。一例では、リリース層の前駆体は、Wacker社(ドイツ所在)製のシリコンゴムであるSemicoSil(商標)である。次いで、コーティングされたデバイスウェハーを接着剤でスピンコーティングし(73)、その後、裏返す(74)。次に、軟質層32をキャリアウェハー30の表面30a上にスピンコーティングする(76)。一例では、軟質層32は高温架橋(HTC)シリコーンエラストマーである。次に、裏返したデバイスウェハー20を、該デバイスウェハーのリリース層22を有する表面20aがキャリアウェハー30の軟質層32を有する表面30aと対向するようにキャリアウェハーとアライメントし(77)、次いで、図2Bに示す一時的なボンダーモジュールBにおいて2つのウェハーをボンディングする(78)。一時的な結合が、0.1mbarの真空、150℃〜200℃の硬化温度及び加えられる小さな結合力下で形成される。
図2Bを参照すると、デバイスウェハー20は接着剤層を表にして固定チャック202(図4に示す)に載置されている。次に、スペーサー203をデバイスウェハー20の上に載置し、次いで、キャリアウェハー30をスペーサーの上に載置し、組み付けられた固定チャック202をボンダーモジュールB 310に移送する。チャンバーを真空排気し、スペーサー203を取り外し、キャリアウェハー30をデバイスウェハー20上に降ろす(drop)。いくつかの実施形態では、上側チャック222に形成されている真空溝を通して窒素又は他の不活性ガスをパージすることによって、キャリアウェハー30をデバイスウェハー20上に降ろす。他の実施形態では、上側チャック222が静電チャック(ESC)であり、このESCの極性を逆にすることによってキャリアウェハー30をデバイスウェハー20上に降ろす。次に、結合の形成のため、低圧ガスでチャンバーをパージすることによって小さな力を加え、温度を200℃に上げる。次に、チャンバーを冷却し、固定具をアンローディングする。他の実施形態では、Z軸239が上に移動し、積層されたウェハー20、30が上側チャック222と接触させられる。上側チャック222は、後述するように半順応性(semi-compliant:セミコンプライアント)であるか又は非順応性であることができる。
デボンド工程B 70bは機械的リフトデボンド工程であり、図2Aに示す以下のステップを含む。ボンディングされたウェハー積層体10をダイシングフレーム25上に取り付け(54)、キャリアウェハー30をデバイスウェハー20から機械的に持ち上げる(55)。薄化したデバイスウェハー20はダイシングフレーム25によって支持されたままとなる。
図3Aを参照すると、一時的なボンド工程C、80aは以下のステップを含む。初めに、デバイスウェハー20の表面を接着剤層23でコーティングする(82)。一例では、接着剤層23は、3M Company(米国ミネソタ州所在)製のUV硬化接着剤LC3200(商標)である。次いで、接着剤コーティングされたデバイスウェハーを裏返す(84)。次に、光吸収リリース層33をキャリアウェハー30の表面30a上にスピンコーティングする(86)。一例では、光吸収リリース層33は、3M Company(米国ミネソタ州所在)製のLC4000である。次に、裏返したデバイスウェハー20を、該デバイスウェハーの接着剤層23を有する表面20aがキャリアウェハー30の光吸収リリース層を有する表面30aに対向するようにキャリアウェハー30とアライメントする。2つの表面20a及び30aを接触させ、接着剤層をUV光で硬化させる(87)。2つのウェハーを、図3Bに示す一時的なボンダーモジュールC410においてボンディングする(88)。この結合は、吸光リリース層33と接着剤層23との間の一時的な結合であり、0.1mbarの真空及び加えられた小さな結合力下で形成される。デバイスウェハーへのキャリアウェハーの一時的なボンディング(88)は、図3Bに示す一時的なモジュールCにおいて行われる。
図3Bを参照すると、レーザー吸収リリース層であるLTHC層を有するキャリアウェハー30が上部チャック412上に載置されており、保持ピン413によって所定位置に保持されている。次に、デバイスウェハー20を、接着剤層23を表にして下部チャック414上に配置する。次に、ウェハー20、30をアライメントし、チャンバーを真空排気し、上部チャック412をキャリアウェハー30と共にデバイスウェハー20上に降ろす。リリース層33と接着剤層23との間に結合を形成するために小さな力を加える。次に、ボンディングされたウェハー積層体10をアンローディングし、接着剤をUV光で硬化させる。
再び図3Aを参照すると、デボンド工程C 80bは以下のステップを含む。ボンディングされたウェハー積層体10をダイシングフレーム25上に取り付け(56)、キャリアウェハー30をYAGレーザービームで照射する。レーザービームによりリリース層33に沿ってウェハー積層体を分離させ(57)、分離したキャリアウェハー30をデバイスウェハー20から離すように機械的に持ち上げる(58)。接着剤層をデバイスウェハー表面20aから剥離し(59)、薄化したデバイスウェハー20がダイシングフレーム25によって支持されたままとなる。
図5を参照すると、一時的なボンダークラスター110は、上側キャビネット構造部102が下側キャビネット103の上に積み重ねられているハウジング101を備えている。上側キャビネット102はサービスアクセス側面105を有し、下側キャビネットはレベリング調整部104及び移動キャスター106を有する。上側キャビネット構造部102内には、図6に示すように、構成可能な一時的なボンド工程モジュール210、310、410、510が縦に積み重ねられている。図7に示すように、ホットプレートモジュール130及びコールドプレートモジュール140も工程モジュール210、310の上、下又は間に縦に積み重ねられている。さらなる処理機能を与えるように付加的な工程モジュールを含むことができる。ボンド工程モジュールの例として、加えられる小さな力モジュール、加えられる大きな力モジュール、高温モジュール及び低温モジュール、照射(UV光又はレーザー)モジュール、高圧(ガス)モジュール、低(真空)圧モジュール並びにそれらの組合せが挙げられる。
図9〜図12を参照すると、一時的なボンドモジュール210が、ロードドア211、上側ブロックアセンブリ220及び対向する下側ブロックアセンブリ230を有するハウジング212を備えている。上側ブロックアセンブリ220及び下側ブロックアセンブリ230は、4つのZガイドポスト242と可動接続されている。他の実施形態では、4つよりも少ないか又は4つよりも多いZガイドポストが用いられる。入れ子式カーテンシール(telescoping curtain seal)235が上側ブロックアセンブリ220と下側ブロックアセンブリ230との間に設けられている。一時的なボンディングチャンバー202が上側アセンブリ220及び下側アセンブリ230と入れ子式カーテンシール235との間に形成されている。カーテンシール235は、一時的なボンディングチャンバー領域202の外側にあるプロセス構成要素の多くをプロセスチャンバーの温度、圧力、真空及び雰囲気から隔離状態に保つ。チャンバー領域202の外側のプロセス構成要素として特に、案内ポスト242、Z軸ドライブ243、照射源、機械的プリアライメントアーム460a、460b及びウェハーセンタリングジョー461a、461bが挙げられる。カーテン235はいかなる半径方向からもボンドチャンバー202へのアクセスを提供する。
図11を参照すると、下側ブロックアセンブリ230は、ウェハー20を支持するヒーター板232、断熱層236、水冷支持フランジ237、移送ピンステージ238及びZ軸ブロック239を備えている。ヒーター板232はセラミック板であり、抵抗性ヒーター素子233及び一体型空気冷却部234を含む。ヒーター素子233は2つの異なる加熱ゾーンが形成されるように配置されている。第1の加熱ゾーン233Bは、200mmウェハー、又は300mmウェハーの中心領域を加熱するように構成されており、第2の加熱ゾーン233Aは300mmウェハーの外周を加熱するように構成されている。加熱ゾーン233Aは、結合界面405全体にわたって均熱性を達成すると共にウェハー積層体のエッジにおける熱損失を軽減するように加熱ゾーン233Bとは独立して制御される。ヒーター板232も、200mmのウェハー及び300mmのウェハーをそれぞれ保持する2つの異なる真空ゾーンを含む。水冷断熱支持フランジ237は断熱層236によってヒーター板から分離されている。移送ピンステージ238は下側ブロックアセンブリ230の下に配置されており、4つのポスト242によって可動支持されている。移送ピンステージ238は、異なるサイズのウェハーを昇降させることができるように配置されている移送ピン240を支持する。一例では、移送ピン240は、200mmウェハー及び300mmウェハーを昇降させることができるように配置される。移送ピン240はストレートシャフトであり、幾つかの実施形態では、図15に示すように中央を貫通する真空供給孔を有する。移送ピン孔を通じての真空引きにより、支持されているウェハーを移動時に移送ピン上の所定位置に保持し、ウェハーのミスアライメントを防止する。Z軸ブロック239は、図12に示すように、ボールねじ付き精密Z軸ドライブ243、直線カム設計、サブミクロン位置制御用リニアエンコーダーフィードバック244、及びギアボックス付きサーボモーター246を備える。
図13を参照すると、上側ブロックアセンブリ220は、上側セラミックチャック222、上部静止チャンバー壁221(該上部静止チャンバー壁221に対してカーテン235がシール要素235aによりシールする)、200mm膜層224a及び300mm膜層224b、並びに120度で環状に配置された3つの金属製フレクシャーストラップ(flexure straps:曲げストラップ)226を備えている。膜層224a、224bは、図14に示されるように、上側チャック222と上部ハウジング壁213との間でクランプ215a、215bによってそれぞれクランプされており、200mmウェハー及び300mmウェハーをそれぞれ保持するように設計された2つの別個の真空ゾーン223a、223bを形成している。膜層224a、224bはエラストマー材料又は金属ベローズから作製される。上側セラミックチャック222は非常に平坦で薄い。上側セラミックチャック222は、ウェハー積層体10に均一な圧力を印加するように、質量が低く、半順応性である。上側チャック222は、3つの調整可能なレベリングクランプ/ドライブアセンブリ216に対して膜圧で軽く予荷重をかけられる。クランプ/ドライブアセンブリ216は、120度で環状に配置されている。上側チャック222は、下側セラミックヒーター板232に対して平行であるように、最初にヒーター板232と接触したままレベリングされる。3つの金属ストラップ226はフレクシャーとして働き、上側チャック222についての最小限のZ制約(Z-constraint)をX−Y−T(Theta(シータ))位置決めに与える。クランプ/ドライブアセンブリ216は、セラミックチャック222を、並進させることなく、支持されたウェハーの中心部に対応する中心点を中心に回転及び/又は傾斜させる、球状のウェッジエラー補償(WEC)機構も提供する。他の実施形態では、上側セラミックチャック222の位置決めは、チャック222が押さえ付けられる(lash)固定レベリング/ロケーティングピンにより達成される。
ウェハーのローディング及びプリアライメントは、図16に示す機械的センタリング機構460により促進される。センタリング機構460は、図16では開位置で示すと共に図17では閉位置で示す、2つの回転可能なプリアライメントアーム460a、460b及び直動アライメントアーム460cを含む。各アーム460a、460bの端には機械的ジョー461a、461bがある。機械的ジョー461a、461bは、図18A及び図18Bに示すように、300mmウェハー及び200mmウェハーそれぞれの湾曲エッジに合致するテーパー面462及び463を有する。直動アーム460cは、円形のウェハーの湾曲エッジに同様に合致する湾曲テーパー内面を有するジョー461cを有する。アーム460a、460bを支持チャック464の中心部465に向けて回転させると共にアーム460cを支持チャック464の中心部465に向けて直線移動させることにより、機械的ジョー461a、461bのテーパー面及びジョー461cの湾曲テーパー内面をウェハーの外周と接触させ、ウェハーを支持チャック464上にセンタリングする。3つのアーム460a、460b、460cは支持チャック464の周りに120度で配置されている。別の実施形態では、センタリング機構460は、図18A及び図18Bに示すように、3つの回転可能なプリアライメントアームを含み、各アームの端には機械的ジョーがある。アームを支持チャック464の中心部に向けて回転させることにより、機械的ジョーのテーパー面をウェハーの外周と接触させ、ウェハーを支持チャック464上にセンタリングする。
別の実施形態では、ウェハーのローディング及びプリアライメントは、図19A及び図19Bに示すウェハーセンタリング機構470により促進される。ウェハーセンタリング機構470は3つのセンタリングリンク機構471、472、473を含む。センタリングリンク機構471は、ウェハー30をY方向に移動させる直線中間位置エアベアリング又は機械的スライド471aを含む。センタリングリンク機構472、473は、時計回り及び反時計回りにそれぞれ回転する回転センタリングアーム472a、473aを含む。センタリングリンク機構471、472、473の動きは、2つの直線カムプロファイル474a、474bを有するカム板474の使用によって同期される。カムプロファイル474aは、中間位置センタリングアーム471に直線状の動きを与え、カムプロファイル474bは、左センタリングアームプッシュロッド472b及び右センタリングアームプッシュロッド473bに直線状の動きを与える。プッシュロッド472b、473b直線状の動きは、センタリングアーム472a、473aそれぞれにおけるカム/カムフォロワーの接続面で回転の動きに変換される。カム板474は、電気モーター又は空気圧作動によって直線状の動き(X軸移動)に駆動されるリニアスライドに固定されている。中間位置センタリングアーム471における線形可変差動変圧器(LVDT)又は別の電気センサーのメカニズムが、センタリング機構がウェハーのエッジに当って止まったことを示す距離フィードバックを提供する。センタリング機構471aにばね予荷重がかかっており、このばね予荷重に屈するとLVDTが変位を記録する。
更に別の実施形態では、ウェハー30のローディング及びプリアライメントは、図19C及び図19Dに示すウェハーセンタリング機構480により促進される。ウェハーセンタリング機構480は3つのセンタリングリンク機構481、482、483を含む。センタリングリンク機構481は、ウェハー30をY方向に移動させる直線中間位置エアベアリング又は機械的スライド481aを含む。センタリングリンク機構482、483は、時計回り及び反時計回りにそれぞれ回転する回転センタリングアーム482a、483aを含む。センタリングリンク機構481、482、483の動きは、直線カムプロファイル484a、484bをそれぞれ含む2つの板484、485の使用によって同期される。カムプロファイル484a、485aは、左センタリングアームプッシュロッド482及び右センタリングアームプッシュロッド483それぞれに直線状の動きを与える。プッシュロッド482、483の直線状の動きは、センタリングアーム486a、486bそれぞれにおけるカム/カムフォロワーの接続面で回転の動きに変換される。板484、485は、ロッド486a、486bをそれぞれ介してリニアスライド481aに接続されている。Y方向へのスライド481aの直線移動は、図19Dに示すように、ロッド486a、486bを介して、X軸に沿って板484、485それぞれの直線移動に変換される。
図20A、図20B、図20Cを参照すると、ボンダーモジュール210による一時的なボンディング動作は以下のステップを含む。初めに、非接着性基板をロボットエンドエフェクターによって移送ピン240a上にローディングする(350)。この場合、基板は300mmウェハーであり、300mmピン240aによって支持されているが、200mmピン240bは300mmピン240aよりも僅かに低くなるように示されている。次に、テーパーの機械的ジョー461a、461bがウェハーの周りの所定位置に移動し、移送ピン240aが下方に移動する(352)。移送ピンは真空機能及びパージ機能を有する。パージ機能によりセンタリングサイクル中にウェハーを浮上させ、真空機能によりセンタリング完了時にウェハーを保持する。テーパーの「漏斗」ジョー461a、461b、461cは、ウェハーが移送ピン240aを介して下降する際にウェハーを中心部に駆動する。ジョー461a、461b、461cは、図19及び図18にそれぞれ示すように、200mm及び300mを含む任意のサイズのウェハーに対処及びプリアライメントするように設計されている。次に、センタリングジョー461a、461b、461cが後退し、移送ピンが、図20Cに示すように、上部基板20を上側真空チャック222上に載置するように上方に移動する(354)。次に、図21Aに示すように、第2の接着剤コーティングされた基板30を表にしてロボットエンドエフェクターによって移送ピン240a上にローディングする(356)。次に、図21Bに示すように、テーパーの機械的ジョー460がウェハー30の周りの所定位置に移動し、移送ピン240aが下方に、次いで上方に移動する(358)。図21Cに示すように、センタリングジョー461a、461bが後退し、移送ピン240aが基板30を下部真空チャック232上に配置するように下方に移動する(359)。次に、図22Aに示すように、下部ヒータースステージ230が、上部基板20と下部基板30との間に狭まったプロセス間隙を形成するように上方に移動し、カーテンシール235が一時的なボンディングチャンバー202を形成するように閉鎖する(360)。上部基板20を機械的フィンガーを介して保持しながら、一時的なボンディングチャンバー202において最初に深く真空引きする(10mbar〜4mbar)。設定された真空レベルに達したら、上部基板20を上側チャック222に保持する差動真空圧を生成するようにチャンバーの圧力を約5mbarまで僅かに上げる。図22Bに示すように、Z軸ステージ239が、下部基板30を上部基板20と接触させるように更に移動する(362)。この移動(362)によって上部チャック222が止め具216から持ち上げられて外れる。次に、上部膜224a及び下部上部チャック232を介して力を加え、ウェハー積層体10をプロセス温度に加熱する(364)。一例では、加えた力は500N〜8000Nの範囲内にあり、プロセス温度は200℃である。片面加熱を用いる場合、ウェハー積層体10を膜圧により加圧して良好な伝熱を確実にする。この処理の終了後、ボンディングされたウェハー積層体10を冷却し、移送ピン及びロボットエンドエフェクターを用いてアンローディングする(366)。
上述の場合、Z軸は薄い半順応性の上部チャック222/膜224設計を接触させるように上方に移動する。この実施形態では、膜/チャックフレクシャーを介して結合界面に対して垂直な方向にのみ圧力を加えることによって、また、接着剤のトポグラフィーに合致するように半順応性チャックを用いることによって、接着剤層がTTV/傾斜(TTV/tilt)を制御する。他の実施形態では、Z軸は非順応性チャックを接触させるように上方に移動する。これらの場合、Z軸移動が接着剤層の最終的な厚さを制御し、強制的に接着剤を剛性で平坦なチャック222に合致させる。接着剤層厚は、Z軸位置制御、予め測定した基板厚及び既知の接着剤厚を用いることによって制御することができる。更に他の実施形態では、順応性層を下部チャック232上に設け、接着剤を予め硬化させるか又はその粘性を調整する。更に他の実施形態では、下部チャック及び上部チャックの双方を通じて熱を加える。
図23を参照すると、熱摺動デボンダー150は、上部チャックアセンブリ151と、下部チャックアセンブリ152と、上部チャックアセンブリ151を支持する静止ガントリー153と、下部チャックアセンブリ152を支持するX軸キャリッジドライブ154と、200mm及び300mmの直径を含む種々の直径のウェハーを昇降させるように設計されているリフトピンアセンブリ155と、X軸キャリッジドライブ154及びガントリー153を支持するベース板163とを備えている。
図24を参照すると、上部チャックアセンブリ151は、ガントリー153にボルト締めされている上部支持チャック157と、該上部支持チャック157の下面と接触しているヒーター支持板158と、該ヒーター板158の下面と接触している上部ヒーター159と、Z軸ドライブ160と、上側ウェハー板/ヒーター下面164をレベリングする板レベリングシステムとを備えている。板レベリングシステムは、上部ヒーター159を上部支持チャック157に接続している3つのガイドシャフト162、及び3つの空気圧差動式分割クランプ161を備える。板レベリングシステムは、支持されたウェハーの中心部に対応する中心点を中心に並進させることなく上側ウェハー板164を回転及び/又は傾斜させる、球状のウェッジエラー補償(WEC)機構を提供する。ヒーター159は、支持されたウェハー積層体10を350℃まで加熱可能な定常状態ヒーターである。ヒーター159は、200mmウェハー、又は300mmウェハーの中心領域を加熱するように構成されている第1の加熱ゾーンと、300mmウェハーの周縁を加熱するように構成されている第2の加熱ゾーンとを含む。第1の加熱ゾーン及び第2の加熱ゾーンは、ウェハー積層体の結合界面全体にわたって均熱性を達成するように、かつウェハー積層体のエッジにおける熱損失を軽減するように互いに独立して制御される。ヒーター支持板158は、断熱を提供するように、かつ上部ヒーター159によって発生する可能性があるいずれもの熱膨張応力の伝播を防止するように水冷される。
図25を参照すると、下部チャック152は低熱質量セラミック材料から作製されており、エアベアリングキャリッジドライブ154の上にX軸に沿って摺動するように設計されている。キャリッジドライブ154は、2つの平行な横キャリッジ案内トラック156によってこのX軸移動にガイドされる。下部チャック152はそのZ軸169に沿って回転するようにも設計されている。下記に記載するように、小さな角度でのZ軸回転(すなわち捻り)を用いてウェハーの分離を開始する。ベース板163は防振されている。一例では、ベース板は花崗岩から作製される。他の例では、ベース板163はハニカム構造体を有し、空気圧防振装置(図示せず)によって支持される。
図26A、図26B、図26Cを参照すると、図23の熱摺動デボンダー150によるデボンディング動作は以下のステップを含む。初めに、一時的にボンディングされたウェハー積層体10を、キャリアウェハー30を上にするようにすると共に薄化したデバイスウェハー20を下にするように一次的なリフトピン155にローディングする(171)。次に、ウェハー積層体10を、薄化したデバイスウェハー20の下面を下部チャック152と接触させるように下降させる(172)。次いで、下部チャック152を上部ヒーター159の下にくるまで方向165aに沿って移動させる(174)。次に、上部チャック151のZ軸160が下方に移動し、上部ヒーター159の下面164をキャリアウェハー30の上面と接触させ、その後、キャリアウェハー積層体30が設定温度に達するまで空気を上部ヒーター159及びキャリアウェハー30上に浮上させる。設定温度に達すると、キャリアウェハー30が上部チャックアセンブリ151によって保持されるようにキャリアウェハー30上で真空引きし、ガイドシャフト162を分割クランプ161にロックする(175)。この時点で、上部チャック151は強固に保持されているのに対し、下部チャック152は順応性があり、先に、下部チャック152を捻ることによって、次いで、強固に保持された上部チャックアセンブリ151から離れるように方向165bに向けてX軸キャリッジ154を移動させる(177)ことによって、熱摺動分離を開始する(176)。デボンディングされた薄化したデバイスウェハー20をX軸キャリッジ154によってアンローディング位置に運び、この場合、該デバイスウェハー20をピンによって持ち上げて(178)、取り外す(179)。次に、X軸キャリッジ154が方向165aに沿って戻る(180)。X軸キャリッジ154が上部チャックアセンブリ151の下の位置に達すると、キャリアウェハー30の接着剤側面を接触させるようにリフトピン155を上昇させ、空気をヒーター板159上にパージして該ヒーター板159からキャリアウェハーを解放する(181)。リフトピン155を、下部チャックの上面を接着剤で汚さないように下部チャック平面の真上の高さに下降させ(182)、X軸キャリッジ154が方向165bに沿ってアンローディング位置に戻る。キャリアウェハーを冷却し、その後、取り外す(183)。
図2Aを参照すると、機械的デボンダーB 250が、薄化したデバイスウェハー20から離れるようにキャリアウェハー30のエッジ31を機械的に持ち上げることによって、薄化したデバイスウェハー20からキャリアウェハー30をデボンディングする。デボンディング工程の前に、一時的にボンディングされたウェハー積層体10をフレーム25に取着し、分離時、薄化したウェハーがフレーム25によって支持されたままとなる。図27及び図28を参照すると、デボンダー250は、2つのゾーンのある円形状真空シール255を有する屈曲板253を備えている。シール255は2つのゾーンを含み、その一方は、該シールによって囲まれた領域内に配置されている200mmウェハーをシールするためのものであり、もう一方は、該シールによって囲まれた領域内の300mmウェハーをシールするためのものである。シール255はOリング又は吸着盤により実装される。リフトピンアセンブリ254を用いて、屈曲板253によって搬送される分離したキャリアウェハー30を昇降させる。デボンダー250は真空チャック256も備える。真空チャック256及び屈曲板253は双方とも支持板252上に互いに隣に配置され、この支持板252は更にベース板251によって支持される。屈曲板253は、ヒンジモータードライブ257によって駆動されるヒンジ263に接続されている縁253bを有する。真空チャック256は多孔質焼結セラミック材料から作製されており、分離した薄いウェハー20を支持するように設計されている。ヒンジモータードライブ257を用いて、ウェハー積層体10が真空チャック256にローディングされた後でウェハー積層体10上に屈曲板253を駆動する。アンチバックラッシュギアドライブ258を用いて屈曲板253の不慮のバッキングを防止する。デボンドドライブモーター259がベース板251の縁251aに、かつ、チャック支持板252aの縁の隣に取着される。デボンドドライブモーター259は、以下に記載するように、ローディングしたウェハー積層体10上に屈曲板が載置された後、方向261にベース板251の平面に対して垂直に接触コントローラー260を移動させ、該接触ローラー260のこの動きにより屈曲板253の縁253aを持ち上げる。
図29を参照すると、デボンダー250によるデボンディング動作270は以下のステップを含む。初めに、キャリアウェハー30を上にするようにすると共に、薄化したウェハー20を下にするように、テープフレーム25をウェハー積層体10と共に真空チャック256上にローディングする(271)。テープフレーム25が、図28に示すフレーム整合ピン262に対して割り出しされ、テープフレーム25の位置がロックされる。次に、多孔質真空チャック256を通じて真空引きしてテープフレームの接着剤フィルムを保持する。次に、屈曲板253をキャリアウェハー30の裏面と接触させるように、ローディングされたウェハー積層体上に屈曲板253を搬送するようにヒンジモーター257を係合させる(272)。屈曲板253がキャリアウェハー30上の位置に達すると、シール255を介してキャリアウェハー上で真空引きする。ヒンジモーター257のトルクは、屈曲板253をこの「閉鎖位置」に維持するように一定に保たれる。次に、デボンドモーター259を、接触ローラー260を方向261aに上方に移動させるようにすると共に屈曲板253の縁253aを押し上げるように係合させる(273)。屈曲板の縁253aのこの上方の動きにより、キャリアウェハー30をわずかに曲げ(すなわち屈曲させ)、ウェハー積層体10をリリース層33に沿って離層させ、それによって、薄化したウェハー20からキャリアウェハー30を分離させる。シリコンウェハーは、任意の他の配向ではなく(110)結晶軸面に沿ってはるかに容易に破壊してしまうか又は割れる。したがって、キャリアウェハー30は、その方向110が押し方向261aに対して垂直であるように(110)平面上に作製され、それによって、離層中にウェハー30の破壊を防止する。薄化したウェハー20はテープフレーム25に取着されたままであり、真空チャック256によって保持されている。このステップにより、デボンドモーター259が所定位置に一定に保持される。次に、ヒンジモータードライブ257は、取着されている分離したキャリアウェハー30と共に屈曲板253を制御された方法で「開放位置」に開放する(274)。屈曲板の真空が解放されることによって、キャリアウェハー30を解放する。次に、リフトピン254が、リリース層33が表になるように配向されたキャリアウェハー30を上昇させるように上方に移動した後で、キャリアウェハー30が取り外される。次に、多孔質真空チャック256により真空が解放され、取着されている薄化したウェハー20と共にテープ25が取り外される。
本発明の幾つかの実施形態を記載してきた。しかしながら、本発明の精神及び範囲から逸脱しない限り様々な変更を行うことができることが理解されるであろう。したがって、他の実施形態も添付の特許請求の範囲内にある。
図1
100 一時的なウェハーボンディング及びデボンディングするための改善された装置
110 TEMPORARY BONDER 一時的なボンダー
210 MODULE A モジュールA
310 MODULE B モジュールB
410 MODULE C モジュールC
510 MODULE D モジュールD
120 DEBONDER デボンダー/デボンダークラスター
150 THERMAL SLIDE DEBONDERA 熱摺動デボンダーA
250 MECHANICAL DEBONDER B 機械的デボンダーB
350 RADIATION ANDMECHANICAL DEBONDER C 照射及び機械的デボンダーC
図1A
TEMORARY BOND PROCESS A 一時的なボンド工程A
60a 一時的なボンディング工程A
60b デボンド工程A
10 ウェハー積層体
20 Device Wafer デバイスウェハー
20a 保護コーティング/デバイスウェハー表面
20b 露出したデバイスウェハー表面
21 保護コーティング/保護層
25 ダイシングフレーム
30 Carrier Wafer キャリアウェハー
30a 接着剤層
31 接着剤層
(62) Protective Coating(optional) 保護コーティング(任意選択的)
(63) Bake・Chill 焼成・冷却
(64) Flip Wafer ウェハーを裏返す
(65) Adhesive LayerCoating / Dry Film Lamination 接着剤層コーティング/乾燥フィルム積層
(66) Bake 焼成
Chill 冷却
(67) Mechanical (optical)Alignment 機械的(光学的)アライメント
(68) Temporary Bond 一時的なボンド
DEBOND PROCESSA デボンド工程A
Processed Device Water 処理されたデバイスウェハー
Carrier キャリア
Debonding デボンディング
(69) 加熱/制御された加えられた力及び速度下でウェハー積層体を摺動させて外す
Slide Lift Off 摺動させて外す
(52) Cleaning 洗浄
(53) 載置する/分離したデバイスウェハーをダイシングフレーム上に取り付け
169 軸
図1B
20 デバイスウェハー
202 固定チャック
210 チャンバー210
210a 下部チャック
210b 上部チャック
30 キャリアウェハー
Process Basics 基本工程
Place bottom wafer on fixturechuck 下部ウェハーを固定チャック上に載置
Place top adhesive carrier direct 上部接着剤キャリアを直接載置
Manual Align 手動でアライメント
Load into chamber チャンバーにロード
Top chuck down, force 上部にチャックを下降させ、力を加える
Vacuum pump down 真空ポンプ吸引
Heat to 〜200C およそ200Cまで加熱
Cool to unload temp アンロード温度に冷却
Unload fixture 固定具をアンロード
図2A
70a TEMORARY BOND PROCESS B 一時的なボンド工程B
20 Device Wafer デバイスウェハー
20a デバイスウェハー表面
22 Release layer リリース層
30 Carrier キャリア/キャリアウェハー
30a 表面
32 軟質層
(72) Coat SemicoSil SemicoSilをコーティング
Plasma(PECVD プラズマ(PECVD
(73) Spin Coat Adhesive 接着剤をスピンコーティング
(74) Flip Wafer ウェハーを裏返す
(76) スピンコーティング
(77) Mechanical/opticalalignment 機械的/光学的なアライメント
(78) Temporary Bond 一時的なボンド
70b DEBOND PROCESSB デボンド工程B
10 ウェハー積層体
25 ダイシングフレーム
Processed Device Wafer 処理されたデバイスウェハー
Carrier キャリア
(54) Mount to Frame フレームに取り付ける
(55) Mechanical lift 機械的に持ち上げる
Thinned wafer supported by frame 薄化したウェハーがフレームによって支持される
図2B
20 デバイスウェハー
202 固定チャック
203 スペーサー
222 上側チャック
239 Z-axis Z軸
30 キャリアウェハー
310 MODULE B ボンダーモジュールB
Process Basics 基本工程
Place bottom adhesive carrier on fixture 下部接着剤キャリアを固定具上に載置
Place top wafer on spacer flags 上部ウェハーをスペーサーフラグ上に載置
Manual Align 手動でアライメント
Load into chamber チャンバーにロード
Vacuum pump down 真空ポンプ吸引
Remove spacers, drop wafer toedge bead スペーサーを取り外し、ウェハーをエッジビードに降ろす
Purge chamber(force) チャンバーをパージ(力)
Heat to 〜200C およそ200Cまで加熱
Cool to unload temp アンロード温度に冷却
Unload fixture 固定具をアンロード
図3A
80a ボンディング工程C TEMORARY BOND PROCESS C 一時的なボンド工程C
20 Device Wafer デバイスウェハー
20a 表面
23 接着剤層
30 キャリアウェハー/Carrier キャリア
30a 表面
33 光吸収リリース層
(82) Coat with 3M adhesive 3M接着剤でコーティング
(84) Flip Wafer ウェハーを裏返す
(86) Coat / bake withLaser absorbing Release layer レーザー吸収リリース層でコーティング/焼成 スピンコーティング
UV cure adhesive 接着剤をUV硬化
(87) Mechanicaal (optical)Alignment 機械的(光学的)アライメント/接着剤層をUV光で硬化
(88) Temporary Bond 一時的なボンド
80b DEBOND PROCESSC デボンド工程C
10 ウェハー積層体
20 デバイスウェハー
20a デバイスウェハー表面
25 ダイシングフレーム
30 キャリアウェハー
33 リリース層
Processed Device Wafer 処理されたデバイスウェハー
Carrier キャリア
(56)Mount to Frame フレームに取り付ける
(57)YAG Laser release YAGレーザー放出/ウェハー積層体を分離
(58)Mechanical lift 機械的に持ち上げる
(59)Peel Adhesive 接着剤を剥離
Thinned wafer supported by frame 薄化したウェハーがフレームによって支持される
図3B
410 MODULE C モジュールC
20 デバイスウェハー
23 接着剤層
30 キャリアウェハー
33 リリース層
412 上部チャック
413 保持ピン
414 下部チャック
Process Basics 基本工程
Place LTHC carrier on top chuck LTHCキャリアを上部チャック上に載置
Apply holding pins 保持ピンを適用
Place adhesive wafer on bottomchuck 接着剤ウェハーを下部チャック上に載置
Robot align ロボットアライメント
Vacuum pump down 真空ポンプ吸引
Top chuck moves down , slidingon holding pins 上部チャックを保持ピン上で摺動させながら下方に移動
Apply force 力を適用
Unload stack 積層体をアンロード
UV cure adhesive 接着剤をUV硬化
図5
CLUSTER MODULE クラスターモジュール
101 ハウジング
102 上側キャビネット構造部
103 LOWER CABINET 下側キャビネット
104 LEVELING ADJUSTMENTS レベリング調整部
105 SERVICE ACCESS SIDE サービスアクセス側面
106 TRANAPORT CASTERS 搬送キャスター
110 一時的なボンダークラスター
図6
MODULE UPPER STRUCTURE モジュール上側構造
210、310 CONFIGURABLE PROCESSMODULES 構成可能なプロセスモジュール
105 ROBOT ACCESS SIDE ロボットアクセス側面
図7
ROBOT SIDE VIEW ロボット側面図
130 HOT PLATE MODULE ホットプレートモジュール
140 COLD PLATE MODULE コールドプレートモジュール
210、310 TEMP BOND MODULES 一時的なボンドモジュール
図8
HOT PLATE MODULE ホットプレートモジュール
図9
TEMPORARY BOND MODULE 一時的なボンドモジュール
210 一時的なボンドモジュール
211 ロードドア
212 ハウジング
220 上側ブロックアセンブリ
230 下側ブロックアセンブリ
235 入れ子式カーテンシール(telescoping curtain seal)
238 移送ピンステージ
242 Zガイドポスト
図10
220 上側アセンブリ
230 下側アセンブリ
235 入れ子式カーテンシール
239 Z-axis Z軸
242 案内ポスト
243 Z軸ドライブ
図11
230 下側ブロックアセンブリ
232 ヒーター板
233 抵抗性ヒーター素子
233A 第2の加熱ゾーン
233B 第1の加熱ゾーン
234 一体型空気冷却部
236 断熱層
237 水冷支持フランジ
238 移送ピンステージ
239 Z軸ブロック
240 移送ピン
242 ポスト
図12
243 ボールねじ付き精密Z軸ドライブ
244 サブミクロン位置制御用リニアエンコーダーフィードバック
246 ギアボックス付きサーボモーター
図13
220 上側ブロックアセンブリ
221 上部静止チャンバー壁
222 上側セラミックチャック
235 カーテン
235aシール要素
図14
213 上部ハウジング壁
215a、215b クランプ
216 レベリングクランプ/ドライブアセンブリ
222 上側チャック
223a、223b 真空ゾーン
224a 200mm膜層
224b 300mm膜層
226 金属製フレクシャーストラップ(flexure straps:曲げストラップ)
232 下側セラミックヒーター板
図16
460 機械的センタリング機構
460a、460b プリアライメントアーム
460c 直動アライメントアーム
461a、461b 機械的ジョー
461c ジョー
462、463 テーパー面
464 支持チャック
465 中心部
図18A
300MM PREALIGNMENT 300mmプリアライメント
図18B
200MM PREALIGNMENT 200mmプリアライメント
460 センタリング機構
464 支持チャック
図19AB
30 ウェハー
471、472、473 ウェハーセンタリング機構
471a 直線中間位置エアベアリング又は機械的スライド
472a、473a 回転センタリングアーム
472b 左センタリングアームプッシュロッド
473b 右センタリングアームプッシュロッド
474 カム板
474a、474b 直線カムプロファイル
図19CD
30 ウェハー
480 ウェハーセンタリング機構
481、482、483 センタリングリンク機構
481a 直線中間位置エアベアリング又は機械的スライド
482 左センタリングアームプッシュロッド
482a、483a 回転センタリングアーム
483 右センタリングアームプッシュロッド
484、485 板
484a、484b 直線カムプロファイル
486a、486b センタリングアーム/ロッド
図20ABC
20 上部基板
210 ボンダーモジュール
222 上側真空チャック
240a 移送ピン
240a 300mmピン
240b 200mmピン
461a、461b テーパーの機械的ジョー
461a、461b、461c テーパーの「漏斗」ジョー
(350)ローディング
(352) 移送ピン240aが下方に移動
(354)上方に移動
図21ABC
30 基板
232 下部真空チャック
240a 移送ピン
460 テーパーの機械的ジョー
461a、461b センタリングジョー
(356) ローディング
(358) 上方に移動
(359) 下方に移動
図22AB
10 ウェハー積層体
20 上部基板
202 一時的なボンディングチャンバー
216 止め具
222 上側チャック
224a 上部膜
230 下部ヒータースステージ
232 下部上部チャック
235 カーテンシール
239 Z軸ステージ
30 下部基板
(360) 閉鎖
(362) 移動
(364) 加熱
(366) アンローディング
図23
150 熱摺動デボンダー
151 TOP CHUCK ASSEMBLY 上部チャックアセンブリ
152 LOW THERMAL MASS BOTTOMCHUCK 低熱質量下部チャック
153 STATIC GANTRY 静止ガントリー
154 X-AXIS CARRIAGE DRIVE(AIR BEARING) X軸キャリッジドライブ(エアベアリング)
155 LIFT PIN ASSEMBLY (200AND 300mm WAFER) リフトピンアセンブリ(200mmウェハー及び300mmウェハー)
156 LATERAL CARRIAGEGUIDANCE (BOTH SIDES) 横キャリッジ案内(両側面)
162 ガイドシャフト
163 BASE PLATE ベース板
Z−AXIS Z軸
図24
151 TOP CHUCK ASSEMBLY 上部チャックアセンブリ
157 TOP SUPPORT CHUCK (BOLTEDTO GANTRY) 上部支持チャック(ガントリーにボルト締めされている)
158 HEATER SUPPORT PLATE ヒーター支持板
159 TOP HEATER 上部ヒーター
160 Z-AXIS Z軸
161 SPLIT CLAMP(3×)(PNEUMATICALLY ACTUATED) 分割クランプ(3×)(空気圧作動式)
162 GUIDE SHAFT (3X) ガイドシャフト(×3)
164 上側ウェハー板/ヒーター下面
図25
100 一時的なウェハーボンディング及びデボンディングするための改善された装置
152 LOW THERMAL MASSBOTTOM CHUCK 低熱質量下部チャック
154 X-AXIS CARRIAGE(ON AIR BEARING) X軸キャリッジ(エアベアリングによる)
155 LIFT PIN ASSEMBLY リフトピンアセンブリ
156 LATERAL CARRIAGEGUIDANCE 横キャリッジ案内
163 GRANITE BASE 花崗岩ベース
169 Z軸
X-axis X軸
GANTRY ガントリー
TOP CHUCK ASSEMBLY 上部チャックアセンブリ
図26A
10 ウェハー積層体
20 デバイスウェハー
30 キャリアウェハー
151 上部チャック/上部チャックアセンブリ
152 下部チャック
155 一次的なリフトピン
159 上部ヒーター
160 Z軸
161 分割クランプ
162 ガイドシャフト
164 下面
165a 方向
165b 方向
(171)ローディング
Load substrate stack on primarylift pins 基板積層体を一次的なリフトピン上にロード
Carrier wafer on top キャリアウェハーを上にする
Thinned wafer on bottom 薄化したウェハーを下にする
Bonded to wafer ウェハーにボンディングされる
On e-chuck eチャック上に
(172)下降
X-axis carriage indexes tocontact position X軸キャリッジが接触位置に割り出し
Bottom chuck over top heater 下部チャックを上部ヒーターに重ねる
(174)移動
Substrate stack and heater atgap 基板積層体及びヒーターの間隙を調整
ロック(175)
TOP chuck z-axis moves down 上部チャックのZ軸を下方に移動させる
Float air on upper heater and carrier 上側ヒーター及びキャリアに空気を浮上させる
Dwell until carrier achievestemp setpoint キャリアが温度設定点に達するまで一時停止
Pull vacuum on carrier キャリア上で真空引き
Lock guide shaft in split clamp ガイドシャフトを分割クランプにロック
図26B
(176)Controlled thermal slideseparation of substrates 基板の熱摺動分離を制御
Top chuck assembly rigidly held 上部チャックアセンブリが強固に保持される
Compliant bottom wafer stack 下部ウェハー積層体は順応性がある
Twist bottom chuck and slideX-axis carriage along 165b 下部チャックを捻り、X軸キャリッジを方向165bに沿って摺動
(177)X-axis carriage indexes toload/unload position X軸キャリッジがローディング/アンローディング位置に割り出し
Cool debonded wafer デボンディングされたウェハーを冷却
Enclosure ensures operatorsafely エンクロージャーが操作者を安全に確保
(178)Primary substrate lift pins upfor unload アンロードのために一次的な基板リフトピンを上昇
(179)Remove bottom substrate 下部基板を取り外す
図26C
(180)X-axis carriage indexes back tocontact position for carrier wafer removal X軸キャリッジがキャリアウェハーの取り外しのために接触位置に戻るように割り出し
(181)Secondary lift pins up 二次的なリフトピンを上昇
Secondary lift pins contactadhesive side of carrier wafer 二次的なリフトピンがキャリアウェハーの接着剤側面に接触
Air purge on heater to release carrier from heater 空気をヒーター上でパージしてヒーターからキャリアを解放
(182)Secondary lift pins down to afly height above bottom chuck plane as not to contaminate surface with adhesive 接着剤で表面を汚さないように二次的リフトピンを下部チャック平面の真上の高さに下降
(183)X-axis carriage indexes back toload / unload position for carrier removal キャリアを取り外すためにX軸キャリッジがロード/アンロード位置に割り出して戻る
Cool carrier wafer キャリアウェハーを冷却
Secondary life pins to up height 二次的リフトピンの高さを上昇
Remove carrier wafer キャリアウェハーを取り外す
図27
25 TAPE FRAME WITHSUBSTRATES LOADED ローディングされた基板を有するテープフレーム
251 ベース板
252 支持板252
253 FLEX PLATE 屈曲板
254 LIFT PIN ASSEMBLY (200AND 300mm WAFERS) リフトピンアセンブリ(200mmウェハー及び300mmウェハー)
255 CARRIER WAFER VACUUMSEAL (TWO ZONES FOR 200 AND 300mm WAFERS) キャリアウェハー真空シール(200mmウェハー及び300mmウェハー用の2つのゾーン)
258 HINGE GEAR ASSEMBLY ヒンジギアアセンブリ
259 DEBOND MOTOR DRIVE デボンドモータードライブ
260 CONTACT ROLLER(LINE CONTACT OF FORCE ON FLEX PLATE) 接触ローラー(屈曲板にかかる力の直線接触)
ROLLER ASSEMBLY GIUDANCE ローラーアセンブリ案内
図28
30 キャリアウェハー
251 BASE PLATE ベース板
252 CHUCK SUPPORT PLATE チャック支持板
253 FLEX PLATE 屈曲板
254 LIFT PIN ASSEMBLY(USED FOR 200 AND 300mm WAFARS) リフトピンアセンブリ(200mmウェハー及び300mmウェハーに使用される)
256 POROUS VACUUM CHUCKFOR THIN WAFER SUPPORT 薄いウェハー支持用の多孔質真空チャック
257 HINGE MOTOR DRIVE ヒンジモータードライブ
258 ANTI-BACKLASH GEARDRIVE アンチバックラッシュギアドライブ
259 DEBOND MOTOR DRIVE デボンドモータードライブ
260 CONTACT ROLLER 接触ローラー
262 TAPE FRAMEREGISTRATION PINS(RED) テープフレーム整合ピン(赤)
263 ヒンジ
図29
(271)Load tape frame with wafer stack テープフレームをウェハー積層体と共にロード
Carrier wafer on top キャリアウェハーを上にする
Thinned wafer on bottom 薄化したウェハーを下にする
Index tape frame againstregistration pins and lock tape frame テープフレームを整合ピンに対して割り出し、テープフレームをロック
Pull vacuum on porous chuck tohold tape frame adhesive film テープフレームの接着剤フィルムを保持するように多孔質チャック上で真空引き
Thinned wafer fully supported onporous vacuum chuck 薄化したウェハーが多孔質真空チャック上で十分に支持される
(272)Engage hinge motor to close flexplate onto wafer stack ウェハー積層体上に屈曲板を閉鎖するようにヒンジモーターを係合
Pull vacuum on carrier wafer(top) via o-ring/suction cup sealing Oリング/吸着盤のシールを介してキャリアウェハ―(上部)で真空引き
Holding torque of hinge motorkeeps flex plate in “closed position” 「閉鎖位置」に屈曲板を維持するようにヒンジモーターのトルクを保持
(273)Engage debond motor drive for delamination of waferstack ウェハー積層体の離層のためにデボンドモータードライブを係合
Plasma activated release layertuned to release elastomer from thinned wafer first (bottom) プラズマ活性化したリリース層が薄化したウェハー(下部)から先にエラストマーを解放するように調整される
Through initial flexing, carrierwafer fully delaminates / debonds from thinned wafer 最初の屈曲によりキャリアウェハーが薄化したウェハーから完全に離層/デボンディング
Hold debond motor drive at thisposition デボンドモータードライブをこの位置に保持
(274)Hinge motor opens flex plate incontrolled manner to “open position” ヒンジモーターが屈曲板を制御された方法で「開放位置」に開放
Release vacuum on carrier wafer キャリアウェハー上で真空を解放
Lift pins up to remove carrierwafer with elastomer facing up エラストマーが上に面したキャリアウェハーを取り外すようにピンを上昇
Release vacuum and unlocked tapeframe for manual removal 手動による取り外しのために真空を解放してテープフレームをアンロック
253a 縁

Claims (81)

  1. 電子ウェハー構造体の一時的なボンディング及びデボンディングのための改善された装置であって、
    接着剤層によるボンディング、接着剤層とリリース層との組み合わせによるボンディング及びUV光硬化性接着剤層とレーザー吸収リリース層との組み合わせによるボンディングを含む電子ウェハーボンディング工程を行うように構成された一時的なボンダーモジュールのクラスターと、
    一時的なボンダーモジュールによって行われた該電子ウェハーボンディング工程を介してボンディングされた電子ウェハーをデボンディングするデボンディング工程を行うように構成されたデボンダーモジュールのクラスターであって、前記デボンディング工程は、熱摺動デボンディング、機械的デボンディング及び照射デボンディングを含む、デボンダーモジュールのクラスターと、
    を備える、装置。
  2. 電子ウェハー構造体の一時的なボンディング及びデボンディングのための改善された装置であって、
    一時的なボンダーモジュールのクラスターであって、
    接着剤層を介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第1のボンダーモジュール、及び
    接着剤層とリリース層との組み合わせを介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第2のボンダーモジュール、
    を含む、一時的なボンダーモジュールのクラスターと、
    デボンダーモジュールのクラスターであって、
    接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む、熱摺動デボンダーモジュールであって、前記機器は、該ボンディングされた2つのウェハーを加熱する手段、及び熱が加えられている間に一方のウェハーを他方のウェハーに対して摺動させる手段を含む、熱摺動デボンダーモジュール、及び
    接着剤層とリリース層との組み合わせを介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む、機械的デボンダーモジュールであって、前記機器は、該ボンディングされた2つのウェハーを加熱する手段、及び熱が加えられている間に一方のウェハーを他方から離すように縦に機械的に押す手段を含む、機械的デボンダーモジュール、
    を含むデボンダーモジュールのクラスターと、
    を備える、装置。
  3. 前記一時的なボンダーモジュールのクラスターは、UV光硬化性接着剤層とレーザー吸収リリース層との組み合わせを介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第3のボンダーモジュールを更に含み、
    前記デボンダーモジュールのクラスターは、UV光硬化性接着剤層とレーザー吸収リリース層との組み合わせを介して一時的にボンディングされた2つのウェハーをデボンディングする機器を含む、照射デボンダーモジュールを更に含み、前記デボンディングする機器は、該ボンディングされた2つのウェハーにレーザー照射を加える手段、及び一方のウェハーを他方から離すように機械的に分離する手段を含む、請求項2に記載の装置。
  4. 前記一時的なボンダーモジュール及びデボンダーモジュールは縦に積み重ねられる、請求項3に記載の装置。
  5. 前記第1のボンダーモジュール機器は、前記接着剤層をキャリアウェハーの表面上に施す手段と、前記施された接着剤層を焼成する手段及び冷却する手段と、デバイスウェハーの表面上に保護層を施す手段と、前記施された保護層を焼成する手段及び冷却する手段と、該接着剤層が該保護層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対を加熱し、それによって、ボンディングされたウェハー対を形成する手段とを備える、請求項2に記載の装置。
  6. 前記第2のボンダーモジュール機器は、デバイスウェハーの表面上にリリース層を形成する手段及び前記形成されたリリース層上に第1の接着剤層を施す手段と、キャリアウェハーの表面上に第2の接着剤層を施す手段と、該第2の接着剤層が該第1の接着剤層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対を加熱し、それによって、ボンディングされたウェハー対を形成する手段とを備える、請求項2に記載の装置。
  7. 前記第3のボンダーモジュール機器は、デバイスウェハーの表面上にUV光硬化性接着剤層を施す手段と、キャリアウェハーの表面上にレーザー吸収リリース層を施す手段と、該レーザー吸収リリース層が該UV光硬化性接着剤層に対向するように該キャリアウェハーを配向して該デバイスウェハーとアライメントする手段と、該アライメントしたキャリアウェハーを該デバイスウェハーと接触させ、それによって、積層されたウェハー対を形成する手段と、該積層されたウェハー対上に力を加える手段と、力が加えられている間に該積層されたウェハー対にUV光をあて、それによって、ボンディングされたウェハー対を形成する手段とを備える、請求項3に記載の装置。
  8. 前記ボンダーモジュールのいずれかは、
    上側ブロックアセンブリと、
    該上側ブロックアセンブリの下に対向して配置される下側ブロックアセンブリと、
    該上側ブロックアセンブリと該下側ブロックアセンブリとの間に設けられており、該上側ブロックアセンブリと該下側ブロックアセンブリとの間の容積を囲んでシールする入れ子式カーテンであって、前記シールされる容積は、前記第1のボンダーモジュール機器を収容する一時的なボンディングチャンバーを画定する、入れ子式カーテンと、
    前記一時的なボンディングチャンバーを真空排気する手段と、
    前記一時的なボンディングチャンバーにガスを供給する手段と、
    を備える、請求項3に記載の装置。
  9. 前記ボンダーモジュールのいずれかは、2つ以上のZガイドポストを更に含み、前記上側ブロックアセンブリ及び前記下側ブロックアセンブリは前記Zガイドポストと可動接続される、請求項8に記載の装置。
  10. 前記下側ブロックアセンブリは、
    上面及び下面を有するヒーター板であって、前記ヒーター板の上面は、第1のウェハーを支持及び加熱するように構成されている、ヒーター板と、
    上面及び下面を有する断熱層であって、前記断熱層の上面は前記ヒーター板の下面と接触している、断熱層と、
    上面及び下面を有する冷却支持フランジであって、前記冷却支持フランジの上面は前記断熱層の下面と接触している、冷却支持フランジと、
    前記冷却支持フランジの下に配置されており、前記冷却支持フランジを通る3つ以上の移送ピンを支持する、移送ピンステージであって、前記断熱層及び前記ヒーター板は前記第1のウェハーを昇降させるように構成されている、移送ピンステージと、
    精密Zドライブ、及びサブミクロン位置制御用リニアエンコーダーフィードバックを含むZ軸ブロックドライブであって、前記下側ブロックアセンブリをZ方向に上下に移動させるように構成されている、Z軸ブロックドライブと、
    を備える、請求項8に記載の装置。
  11. 前記ヒーター板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを加熱するように構成された、独立して制御される2つの同心円状加熱ゾーンを含む、請求項10に記載の装置。
  12. 前記ヒーター板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを該ヒーター板の上面上に保持するように構成された、独立して制御される2つの同心円状真空ゾーンを更に含む、請求項11に記載の装置。
  13. 前記上側ブロックアセンブリは、
    第2のウェハーを保持するように構成された上側セラミックチャックであって、非常に平坦で薄い半順応性セラミック板を含む、上側セラミックチャックと、
    静止チャンバー壁であって、該静止チャンバー壁に対して前記入れ子式カーテンがシール要素によりシールを形成する、静止チャンバー壁と、
    200ミリメートル及び300ミリメートルの直径をそれぞれ有する同心円状の第1の膜層及び第2の膜層であって、前記上側チャックと上部ハウジング壁との間でクランプされ、200ミリメートル及び300ミリメートルの直径をそれぞれ有するウェハーを保持するように設計された別個の第1の真空ゾーン及び第2の真空ゾーンを形成する、第1の膜層及び第2の膜層と、
    該上側セラミックチャックをレベリングして該上部ハウジング壁に対してクランプするように構成された3つ以上の調整可能なレベリングクランプ/ドライブアセンブリと、
    を備える、請求項8に記載の装置。
  14. 前記膜層はエラストマー材料又は金属ベローズのうちの一方を含む、請求項13に記載の装置。
  15. 前記クランプ/ドライブアセンブリは、該上側セラミックチャックを、並進させることなく、該保持された第2のウェハーの中心部に対応する中心点を中心に回転及び/又は傾斜させるウェッジエラー補償機構を更に備える、請求項14に記載の装置。
  16. 前記ボンダーモジュールのいずれかにおいて前記第1のウェハー及び前記第2のウェハーをプリアライメント、ローディング及びアンローディングするように構成された機械的センタリング機構を更に備える、請求項8に記載の装置。
  17. 前記機械的センタリング機構は、
    2つのプリアライメントアームであって、各プリアライメントアームはその第1の端に機械的ジョーを備え、前記機械的ジョーは、前記第1のウェハー及び前記第2のウェハーの湾曲エッジに合致するテーパー面を含む、2つのプリアライメントアームと、
    前記第1のウェハー及び前記第2のウェハーの該湾曲エッジに合致するテーパー面を有する静止ジョーと、
    を備える、請求項16に記載の装置。
  18. 2つのウェハー表面を一時的にボンディングするための方法であって、
    互いに対して反対にある第1のウェハー表面及び第2のウェハー表面を含む第1のウェハーを準備すること、
    互いに対して反対にある第1のウェハー表面及び第2のウェハー表面を含む第2のウェハーを準備すること、
    前記第2のウェハーの前記第1の表面上に接着剤層を施すこと、
    上側ブロックアセンブリと、該上側ブロックアセンブリの下に対向して配置される下側ブロックアセンブリと、該上側ブロックアセンブリと該下側ブロックアセンブリとの間に設けられており、該上側ブロックアセンブリと該下側ブロックアセンブリとの間の容積を囲んでシールする入れ子式カーテンであって、前記シールされる容積は一時的なボンディングチャンバーを画定する、入れ子式カーテンと、前記一時的なボンディングチャンバーを真空排気する手段と、前記一時的なボンディングチャンバーにガスを供給する手段とを備える、ボンダーモジュールを準備すること、
    前記第1のウェハーを前記ボンダーモジュールに挿入し、その第1の表面が下向きなるように前記上側ブロックアセンブリによって前記第1のウェハーを保持する、挿入し保持すること、
    前記第2のウェハーを前記ボンダーモジュールに挿入し、前記接着剤層が前記第1のウェハーの第1の表面に対向するように前記下側ブロックアセンブリ上に前記第2のウェハーを載置する、挿入し載置すること、
    前記第1のウェハー及び前記第2のウェハーをセンタリングし、前記第1のウェハーの第1の表面が前記第2のウェハーの前記接着剤層に対向して平行になるようにアライメントする、センタリングしアライメントすること、
    前記接着剤層と前記第1のウェハーの前記第1の表面との間に狭まったプロセス間隙を形成するように、前記下側ブロックアセンブリを上方に移動させること、
    前記入れ子式カーテンを閉鎖することであって、それによって、前記第1のウェハー及び前記第2のウェハーを囲む一時的なボンディングチャンバーを形成する、閉鎖すること、
    前記第1のウェハーが機械的ジョーを介して保持されている間、前記一時的なボンディングチャンバーを最初の深い真空に真空排気すること、
    前記最初の深い真空に達すると、前記一時的なボンディングチャンバーの圧力を前記最初の深い真空を超えて僅かに上げるように前記一時的なボンディングチャンバーにガスを供給することであって、それによって、前記第1のウェハーを前記上側ブロックアセンブリと接触状態に保持する差圧を生じさせる、供給すること、
    該下側ブロックアセンブリを、該接着剤層を該第1のウェハーの該第1の表面と接触させるように上方に移動させること、
    該第1のウェハー及び該第2のウェハーを前記接着剤層の融点を超えるプロセス温度に加熱しながら、該上側ブロックアセンブリを介して該第1のウェハー及び該第2のウェハーに力を加えることであって、それによって、一時的にボンディングされたウェハー対を形成する、力を加えること、並びに
    該ボンディングされたウェハー対を冷却し、該ボンダーモジュールからアンローディングする、冷却しアンローディングすること、
    を含む、方法。
  19. 前記上側ブロックアセンブリは半順応性チャックを含み、前記力は、前記半順応性チャックを介して該第1のウェハー及び該第2のウェハーの結合界面に対して垂直に加えられる、請求項18に記載の方法。
  20. 前記上側ブロックは非順応性チャックを含み、前記方法は、前記下側ブロックアセンブリの上方移動を介して、該ボンディングされたウェハー対における該接着剤層の最終厚を制御することを更に含む、請求項18に記載の方法。
  21. 電子ウェハー構造体の一時的なボンディングのための改善された装置であって、
    接着剤層を介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第1のボンダーモジュールと、
    接着剤層とリリース層との組み合わせを介して2つのウェハー表面間の一時的な結合を形成する機器を含む、第2のボンダーモジュールと、
    UV光硬化性接着剤層とレーザー吸収リリース層との組み合わせを介して2つのウェハー表面間に一時的な結合を形成する機器を含む、第3のボンダーモジュールと、
    を備える、装置。
  22. 接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするためのデボンダー装置であって、
    ヒーター及びウェハーホルダーを含む上部チャックアセンブリと、
    下部チャックアセンブリと、
    該上部チャックアセンブリを支持する静止ガントリーと、
    該下部チャックアセンブリを支持するX軸キャリッジドライブと、
    ローディングゾーンから該上部チャックアセンブリの下のプロセスゾーンまで、及び、該プロセスゾーンから該ローディングゾーンに戻るまで、該下部チャックアセンブリを水平に駆動するように構成されたX軸ドライブ制御部と、
    を備え、
    接着剤層を介してデバイスウェハーにボンディングされたキャリアウェハーを含むウェハー対は、該デバイスウェハーのボンディングされていない表面が該下部アセンブリと接触するように配向された該ローディングゾーンにおいて前記下部チャックアセンブリ上に載置され、前記X軸キャリッジドライブによって該上部チャックアセンブリの下の該プロセスゾーンに運ばれ、該キャリアウェハーのボンディングされていない表面は該上部チャックアセンブリと接触して載置され、
    前記X軸ドライブ制御部は、前記ボンディングされたウェハー対が前記ヒーターを介して前記接着剤層の融点付近又は該融点を超える温度に加熱されている間、及び、前記キャリアウェハーが前記ウェハーホルダーを介して前記上部チャックアセンブリによって保持されると共に前記デバイスウェハーが前記下部アセンブリによって保持されている間、該X軸に沿って前記X軸キャリッジドライブの水平の移動を開始し、それによって、該デバイスウェハーを該キャリアウェハーから分離させて離れるように摺動させる、デボンダー装置。
  23. 下部チャックアセンブリ上に載置されたウェハーを昇降させるように設計されたリフトピンアセンブリを更に備える、請求項22に記載のデボンダー。
  24. X軸キャリッジドライブ及び静止ガントリーを支持するベース板を更に備える、請求項22に記載のデボンダー。
  25. 前記ベース板はハニカム構造体及び防振支持体を含む、請求項24に記載のデボンダー。
  26. 前記ベース板は花崗岩板を含む、請求項24に記載のデボンダー。
  27. 前記下部チャックアセンブリは、低熱質量セラミック材料を含む下部チャックを含み、前記X軸キャリッジドライブ上の該X軸に沿って水平に摺動するように設計されていると共に、該Z軸を中心に捻るように設計されている、請求項22に記載のデボンダー。
  28. 前記X軸キャリッジドライブはエアベアリングキャリッジドライブを含む、請求項22に記載のデボンダー。
  29. X軸に沿って前記X軸キャリッジドライブをその水平移動にガイドする2つの平行な横キャリッジ案内トラックを更に備える、請求項22に記載のデボンダー。
  30. 前記上部チャックアセンブリは、
    該静止ガントリーにボルト締めされた上部支持チャックと、
    該上部支持チャックの下面と接触しているヒーター支持板と、
    なお、前記ヒーターは該ヒーター支持板の下面と接触しており、
    該ヒーターと接触している上部ウェハー板と、
    該上部ウェハー板をZ方向に移動させ、該上部ウェハー板を該キャリアウェハーのボンディングされていない表面と接触させて載置する、Z軸ドライブと、
    該上部ウェハー板をレベリングし、該上部ウェハー板のウェッジエラー補償を提供する板レベリングシステムと、
    を更に備える、請求項22に記載のデボンダー。
  31. 前記ウェハーホルダーは前記キャリアウェハーの真空引きを含む、請求項30に記載のデボンダー。
  32. 前記板レベリングシステムは、前記ヒーターを前記上部支持チャックに接続する3つのガイドシャフト、及び3つの空気圧作動式分割クランプを含む、請求項30に記載のデボンダー。
  33. 前記ヒーターは、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを加熱するように構成された、独立して制御される2つの同心円状加熱ゾーンを含む、請求項30に記載のデボンダー。
  34. 接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするための方法であって、
    上部チャックアセンブリと、下部チャックアセンブリと、該上部チャックアセンブリを支持する静止ガントリーと、該下部チャックアセンブリを支持するX軸キャリッジドライブと、該X軸キャリッジドライブ及び該下部チャックアセンブリを、ローディングゾーンから該上部チャックアセンブリの下のプロセスゾーンまで、及び、該プロセスゾーンから該ローディングゾーンに戻るまで、水平に駆動するように構成されたX軸ドライブ制御部とを備えるデボンダーを準備すること、
    デバイスウェハーのボンディングされていない表面が該下部アセンブリと接触するように配向された該ローディングゾーンにおいて前記下部チャックアセンブリ上に接着剤層を介して該デバイスウェハーにボンディングされたキャリアウェハーを含むウェハー対をローディングすること、
    前記X軸キャリッジドライブ及び前記下部チャックアセンブリを該上部チャックアセンブリの下の該プロセスゾーンに駆動すること、
    該キャリアウェハーのボンディングされていない表面を該上部チャックアセンブリに接触させて載置し、前記上部チャックアセンブリによって前記キャリアウェハーを保持する、載置し保持すること、
    前記キャリアウェハーを、前記上部チャックアセンブリ内に含まれているヒーターにより、前記接着剤層の融点付近又は該融点を超える温度に加熱すること、並びに
    熱が前記キャリアウェハーに加えられている間、及び、前記キャリアウェハーが前記上部チャックアセンブリによって保持されていると共に前記デバイスウェハーが前記下部チャックアセンブリによって保持されている間、前記X軸ドライブ制御部によってX軸に沿って前記X軸キャリッジドライブの水平移動を開始することであって、それによって、該デバイスウェハーを該キャリアウェハーから分離して離すように摺動させる、開始すること、
    を含む、方法。
  35. 前記ウェハー対を該下部チャックアセンブリにリフトピンアセンブリを介して昇降させることを更に含む、請求項34に記載の方法。
  36. 前記デボンダーは、該X軸キャリッジドライブ及び該静止ガントリーを支持するベース板を更に備える、請求項34に記載の方法。
  37. 前記ベース板はハニカム構造体及び防振支持体を含む、請求項36に記載の方法。
  38. 前記ベース板は花崗岩板を含む、請求項36に記載の方法。
  39. 前記水平移動を開始すると同時に該デバイスウェハーを捻ることを更に含む、請求項34に記載の方法。
  40. 前記X軸キャリッジドライブはエアベアリングキャリッジドライブを含む、請求項34に記載の方法。
  41. 前記デボンダーは、該X軸に沿って前記X軸キャリッジドライブをその水平移動にガイドする2つの平行な横キャリッジ案内トラックを更に備える、請求項34に記載の方法。
  42. 前記上部チャックアセンブリは、
    該静止ガントリーにボルト締めされた上部支持チャックと、
    該上部支持チャックの下面と接触しているヒーター支持板と、
    なお、前記ヒーターは該ヒーター支持板の下面と接触しており、
    該ヒーターと接触している上部ウェハー板と、
    該上部ウェハー板をZ方向に移動させ、該上部ウェハー板を該キャリアウェハーのボンディングされていない表面と接触させて載置する、Z軸ドライブと、
    該上部ウェハー板をレベリングし、該上部ウェハー板のウェッジエラー補償を提供する板レベリングシステムと、
    を更に備える、請求項34に記載の方法。
  43. 前記キャリアウェハーは、前記上部チャックアセンブリによって真空引きにより保持される、請求項34に記載の方法。
  44. 前記板レベリングシステムは、前記ヒーターを前記上部支持チャックに接続する3つのガイドシャフト、及び3つの空気圧作動式分割クランプを含む、請求項34に記載の方法。
  45. 前記ヒーターは、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを加熱するように構成された、独立して制御される2つの同心円状加熱ゾーンを含む、請求項34に記載の方法。
  46. リリース層と組み合わされた接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするデボンダー装置であって、
    チャック、及び該チャックの上面と接触状態にウェハーを保持するように構成された第1のウェハーホルダーを含む、チャックアセンブリと、
    屈曲板、及び該屈曲板の第1の表面と接触状態にウェハーを保持するように構成された第2のウェハーホルダーを含む、屈曲板アセンブリであって、前記屈曲板は、ヒンジに接続された第1の縁、及び該第1の縁に直径方向に対向する第2の縁を含み、前記屈曲板の第1の縁は該チャックの第1の縁に隣接して配置され、前記屈曲板は、前記ヒンジを中心に揺動するように構成されていると共に、該チャックの該上面よりも上に載置されるように構成されている、屈曲板アセンブリと、
    該チャックの第2の縁に隣接して配置される接触ローラーであって、該チャックの前記第2の縁はその第1の縁と直径方向に対向している、接触ローラーと、
    該チャックの上面の平面に対して垂直に該接触ローラーを移動させるように構成されたデボンドドライブモーターと、
    を備え、
    デバイスウェハーに積層され、接着剤層及びリリース層を介して該デバイスウェハーにボンディングされるキャリアウェハーを含むウェハー対は、該デバイスウェハーのボンディングされていない表面が該チャックの上面と接触するように前記チャック上に載置され、
    前記屈曲板は前記ヒンジを中心に揺動し、その第1の表面が該キャリアウェハーのボンディングされていない表面に接触するように前記下部チャックの上に載置され、
    前記接触ローラーは、前記第2のウェハーホルダー及び前記第1のウェハーホルダーをそれぞれ介して、前記キャリアウェハーが前記屈曲板によって保持されていると共に前記デバイスウェハーが前記チャックによって保持されている間、該屈曲板の該第2の縁と接触して該屈曲板の該第2の縁を押し上げるまで上方に駆動され、
    前記接触ローラーは該屈曲板の前記第2の縁を押して屈曲させ、該リリース層に沿って該ウェハー対の離層を生じさせる、デボンダー装置。
  47. ヒンジモーターを更に備え、前記ヒンジは前記ヒンジモーターによって駆動される、請求項46に記載のデボンダー。
  48. 前記第1のホルダー及び前記第2のホルダーは、該チャック及び該屈曲板をそれぞれ通じての真空引きを含む、請求項46に記載のデボンダー。
  49. 前記ウェハー対はテープフレームを更に含み、前記デバイスウェハーは、該チャックを通じての真空引きによって前記テープフレームを保持することにより前記チャックによって保持される、請求項46に記載のデボンダー。
  50. 前記チャックアセンブリ、前記屈曲板アセンブリ及び前記ヒンジを支持する支持板を更に備える、請求項46に記載のデボンダー。
  51. 前記支持板、前記接触ローラー、前記ヒンジモーター及び前記デボンドドライブモーターを支持するベース板を更に備える、請求項50に記載のデボンダー。
  52. 前記屈曲板アセンブリは、該屈曲板の該第1の表面上に載置されたウェハーを昇降させるように設計されるリフトピンアセンブリを更に備える、請求項46に記載のデボンダー。
  53. 前記屈曲板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを保持するように構成された、独立して制御される2つの同心円状真空ゾーンを更に含む、請求項46に記載のデボンダー。
  54. 前記真空ゾーンはOリング又は吸着盤のうちの一方を介してシールされる、請求項53に記載のデボンダー。
  55. 前記チャックは多孔質セラミック材料から作製される真空チャックを含む、請求項54に記載のデボンダー。
  56. 屈曲板の不慮のバックスイングを防止するように構成されたアンチバックラッシュギアドライブを更に備える、請求項46に記載のデボンダー。
  57. リリース層と組み合わせた接着剤層を介して一時的にボンディングされた2つのウェハーをデボンディングするための方法であって、
    チャックアセンブリと、屈曲板アセンブリと、接触ローラーとを備える、デボンダー装置を準備することであって、前記チャックアセンブリは、チャック、及び該チャックの上面と接触状態にウェハーを保持するように構成された第1のウェハーホルダーを含み、前記屈曲板アセンブリは、屈曲板、及び該屈曲板の第1の表面と接触状態にウェハーを保持するように構成された第2のウェハーホルダーを含み、前記屈曲板は、ヒンジに接続された第1の縁及び該第1の縁に直径方向に対向している第2の縁を含み、前記屈曲板の第1の縁は、該チャックの第1の縁に隣接して配置され、前記屈曲板は、前記ヒンジを中心に遥動するように構成されていると共に、該チャックの該上面の上に載置されるように構成されており、前記接触ローラーは、該チャックの第2の縁に隣接して配置され、該チャックの前記第2の縁はその第1の縁に直径方向に対向している、準備すること、
    デバイスウェハーに積層され、接着剤層及びリリース層を介してボンディングされるキャリアウェハーを含むウェハー対を準備すること、
    該デバイスウェハーのボンディングされていない表面が該チャックの上面と接触するように前記ウェハー対を前記チャックに載置すること、
    前記ヒンジを中心に前記屈曲板を揺動させ、前記屈曲板をその第1の表面が該キャリアウェハーのボンディングされていない表面に接触するように前記下部チャックの上に載置する、揺動させ載置すること、並びに
    前記第2のウェハーホルダー及び前記第1のウェハーホルダーをそれぞれ介して、前記キャリアウェハーが前記屈曲板によって保持されていると共に前記デバイスウェハーが前記チャックによって保持されている間、前記接触ローラーが該屈曲板の該第2の縁と接触して該屈曲板の該第2の縁を押し上げるまで前記接触ローラーを上方に駆動すること、
    を含み、
    前記接触ローラーは、該屈曲板の前記第2の縁を押して屈曲させ、該リリース層に沿って該ウェハー対の離層を生じさせる、方法。
  58. 前記デボンド装置は、該チャックの上面の平面に対して垂直に該接触ローラーを移動させるように構成されたデボンドドライブモーターを更に備える、請求項57に記載の方法。
  59. 前記デボンダー装置はヒンジモーターを更に備え、前記ヒンジは前記ヒンジモーターによって駆動される、請求項57に記載の方法。
  60. 前記第1のホルダー及び前記第2のホルダーは、該チャック及び該屈曲板をそれぞれ通じての真空引きを含む、請求項57に記載の方法。
  61. 前記ウェハー対はテープフレームを更に含み、前記デバイスウェハーは、前記チャックを通じての真空引きによって前記テープフレームを保持することにより前記チャックによって保持される、請求項57に記載の方法。
  62. 前記デボンダー装置は、前記チャックアセンブリ、前記屈曲板アセンブリ及び前記ヒンジを支持する支持板を更に備える、請求項57に記載の方法。
  63. 前記デボンダー装置は、前記支持板、前記接触ローラー、前記ヒンジモーター及び前記デボンドドライブモーターを支持するベース板を更に備える、請求項57に記載の方法。
  64. 前記屈曲板アセンブリは、該屈曲板の該第1の表面上に載置されたウェハーを昇降させるように設計されるリフトピンアセンブリを更に備える、請求項57に記載の方法。
  65. 前記屈曲板は、200ミリメートル又は300ミリメートルの直径をそれぞれ有するウェハーを保持するように構成された、独立して制御される2つの同心円状真空ゾーンを更に含む、請求項57に記載の方法。
  66. 前記真空ゾーンはOリング又は吸着盤のうちの一方を介してシールされる、請求項65に記載の方法。
  67. 前記チャックは多孔質セラミック材料から作製される真空チャックを含む、請求項66に記載の方法。
  68. 前記デボンダー装置は、該屈曲板の不慮のバックスイングを防止するように構成されたアンチバックラッシュギアドライブを更に備える、請求項57に記載の方法。
  69. 円形のウェハーをセンタリングする機構であって、
    センタリングすべき円形のウェハーを上面で支持する支持チャックと、
    該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第1の機械的ジョーを備える、第1の回転移動可能なアライメントアームであって、前記第1の機械的ジョーは該円形のウェハーの湾曲エッジと合致する湾曲テーパー縁面を含む、第1の回転移動可能なアライメントアームと、
    該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第2の機械的ジョーを備える、第2の回転移動可能なアライメントアームであって、前記第2の機械的ジョーは、該円形のウェハーの該湾曲エッジに合致する湾曲テーパー縁面を含む、第2の回転移動可能なアライメントアームと、
    該円形のウェハーの該湾曲エッジに合致する湾曲テーパー内面を含む、第3の直線移動アライメントアームと、
    を備え、
    前記第1のアライメントアーム、前記第2のアライメントアーム及び前記第3のアライメントアームは互いから120度の角度で該支持チャックの周りに配置され、
    該支持チャック上に載置される円形のウェハーは、該第1の機械的ジョーの該湾曲テーパー縁面及び該第2の機械的ジョーの該湾曲テーパー縁面が第1の周囲領域及び第2の周囲領域それぞれにおいて該円形のウェハーの外周に接触するように、該支持チャックの中心部に向けて該第1のアライメントアーム及び該第2のアライメントアームを回転させることによって、また、その湾曲テーパー内面が第3の周囲領域において該円形のウェハーの外周に接触するように該支持チャックの中心部に向けて該第3のアライメントアームを直線移動させることによってセンタリング及びアライメントされ、前記第1の周囲領域、前記第2の周囲領域及び前記第3の周囲領域は互いから120度の角度ずつ分けられている、機構。
  70. 前記機械的ジョーは、200ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第1の湾曲テーパー縁面、及び300ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第2の湾曲テーパー縁面を含む、請求項69に記載の機構。
  71. 円形のウェハーをセンタリングする機構であって、
    センタリングすべき円形のウェハーを上面で支持する支持チャックと、
    該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第1の機械的ジョーを備える、第1の回転移動可能なアライメントアームであって、前記第1の機械的なジョーは、該円形のウェハーの湾曲エッジに合致する湾曲テーパー縁面を含む、第1の回転移動可能なアライメントアームと、
    該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第2の機械的ジョーを備える、第2の回転移動可能なアライメントアームであって、前記第2の機械的ジョーは、該円形のウェハーの該湾曲エッジに合致する湾曲テーパー縁面を含む、第2の回転移動可能なアライメントアームと、
    該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、第3の機械的ジョーを備える、第3の回転移動可能なアライメントアームであって、前記第3の機械的ジョーは、該円形のウェハーの該湾曲エッジに合致する湾曲テーパー縁面を含む、第3の回転移動可能なアライメントアームと、
    を備え、
    前記第1のアライメントアーム、前記第2のアライメントアーム及び前記第3のアライメントアームは、互いから120度の角度で該支持チャックの周りに配置され、
    該第1の機械的ジョー、該第2の機械的ジョー及び該第3の機械的ジョーの該湾曲テーパー縁面が第1の周囲領域、第2の周囲領域及び第3の周囲領域それぞれにおいて該円形のウェハーの外周に接触するように、該支持チャックの中心部に向けて該第1のアライメントアーム、該第2のアライメントアーム及び該第3のアライメントアームを回転させることによって、該支持チャック上に載置された円形のウェハーをセンタリング及びアライメントし、前記第1の周囲領域、前記第2の周囲領域及び前記第3の周囲領域は互いから120度の角度ずつ分けられている、機構。
  72. 前記機械的ジョーは、200ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第1の湾曲テーパー縁面、及び300ミリメートルの直径を有する円形のウェハーの湾曲エッジに合致する第2の湾曲テーパー縁面を含む、請求項71に記載の機構。
  73. 円形のウェハーをセンタリングする機構であって、
    センタリングすべき円形のウェハーを上面で支持する支持チャックと、
    第1の端に第1の回転アームを含む左センタリングリンク機構ロッドであって、該左センタリングリンク機構ロッドの直線状の動きが該第1の回転アームの回転の動きに変わり、前記第1の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの湾曲エッジに対してロールするように構成された湾曲縁面を含む、左センタリングリンク機構ロッドと、
    第1の端に第2の回転アームを含む右センタリングリンク機構ロッドであって、該右センタリングリンク機構ロッドの直線状の動きが該第2の回転アームの回転の動きに変わり、前記第2の回転アームは、該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの該湾曲エッジに対してロールするように構成された湾曲縁面を含む、右センタリングリンク機構ロッドと、
    第1の端に第3のアライメントアームを含む中央センタリングリンク機構ロッドであって、前記第3のアライメントアームは、該円形のウェハーの該湾曲エッジに接触して載置され、該Y方向への該中央センタリングリンク機構ロッドの直線移動により該第3のアライメントアーム及び該円形のウェハーを該支持チャックの中心部に対して接離するように押す、中央センタリングリンク機構ロッドと、
    該左センタリングリンク機構ロッド、該右センタリングリンク機構ロッド及び該中央センタリングリンク機構ロッドの直線状の動きを同期するカム板であって、第1の直線カムプロファイル及び第2の直線カムプロファイルを含み、前記第1のカムプロファイルは、該中央センタリングリンク機構ロッドに直線状の動きを与え、前記第2の直線カムプロファイルは、該左センタリングリンク機構ロッド及び該右センタリングリンク機構ロッドに直線状の動きを与える、カム板と、
    を備える、機構。
  74. 前記第1のカム直線プロファイル及び前記第2のカム直線プロファイルは、互いに対して及び該Y方向に対して或る角度で配置される表面を含む、請求項73に記載の機構。
  75. 左センタリングリンク機構ロッド及び右センタリングリンク機構ロッドの第2の端に取着される接続ロッドを更に備え、前記接続ロッドは該カム板の該第2の直線カムプロファイルに沿ってロールするように構成されている、請求項73に記載の機構。
  76. 前記中央センタリングリンク機構ロッドは第2の端にローラーを含み、前記ローラーは該カム板の該第1の直線カムプロファイルに沿ってロールするように構成されている、請求項73に記載の機構。
  77. 機構は、モーター及びリニアスライドを更に備え、前記カム板は該リニアスライドに固定され、前記モーターは該リニアスライド、したがって該カム板に対して直線状の動きを与える、請求項73に記載の機構。
  78. 第1のアライメントアーム、第2のアライメントアーム及び第3のアライメントアームが該円形のウェハーの該湾曲エッジと接触していることを示すセンサーを更に備える、請求項73に記載の機構。
  79. 前記センサーは、線形可変差動変圧器(LVDT)又は電気センサーのうちの一方を含む、請求項78に記載の機構。
  80. 円形のウェハーをセンタリングする機構であって、
    センタリングすべき円形のウェハーを上面で支持する支持チャックと、
    第1の端に第1の回転アームを含む左センタリングリンク機構ロッドであって、該左センタリングリンク機構ロッドの直線状の動きが該第1の回転アームの回転の動きに変わり、前記第1の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの湾曲エッジに対してロールするように構成された湾曲縁面を含む、左センタリングリンク機構ロッドと、
    第1の端に第2の回転アームを含む右センタリングリンク機構ロッドであって、該右センタリングリンク機構ロッドの直線状の動きが該第2の回転アームの段階的な回転の動きに変わり、前記第2の回転アームは該支持チャックの該上面に対して垂直な軸を中心に回転可能であり、該円形のウェハーの該湾曲エッジに対してロールするように構成された湾曲縁面を含む、右センタリングリンク機構ロッドと、
    第1の端に第3のアライメントアームを含む中央センタリングリンク機構ロッドであって、前記第3のアライメントアームは該円形のウェハーの該湾曲エッジと接触して載置され、該Y方向への該中央センタリングリンク機構ロッドの直線移動は、該第3のアライメントアーム及び該円形のウェハーを該支持チャックの中心部に対して接離するように押す、中央センタリングリンク機構ロッドと、
    該左リンク機構ロッド、該右リンク機構ロッド及び該中央リンク機構ロッドの直線状の動きを同期させる第1のカム板及び第2のカム板であって、第1の直線カムプロファイル及び第2の直線カムプロファイルをそれぞれ含み、前記第1のカムプロファイルは、該左センタリングリンク機構ロッドに直線状の動きを提供し、前記第2のカムプロファイルは該右リンク機構ロッドに直線状の動きを提供する、機構。
  81. 中央センタリングリンク機構ロッドの第2の端に接続され、該中央センタリングリンク機構ロッドに該Y方向への直線移動を与える、リニアスライドを更に備え、
    前記第1のカム板及び前記第2のカム板は、第1の接続ロッド及び第2の接続ロッドをそれぞれ介して前記リニアスライドに接続され、該Y方向への該リニアスライドの直線移動は、該X方向への該第1のカム板及び該第2のカム板の直線移動に変換される、請求項80に記載の機構。
JP2012505938A 2009-04-16 2010-04-15 一時的なウェハーボンディング及びデボンディングのための改善された装置 Expired - Fee Related JP5439583B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16975309P 2009-04-16 2009-04-16
US61/169,753 2009-04-16
PCT/US2010/031302 WO2010121068A2 (en) 2009-04-16 2010-04-15 Improved apparatus for temporary wafer bonding and debonding

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013258887A Division JP2014099624A (ja) 2009-04-16 2013-12-16 一時的なウェハーボンディング及びデボンディングのための改善された装置

Publications (3)

Publication Number Publication Date
JP2012524399A true JP2012524399A (ja) 2012-10-11
JP2012524399A5 JP2012524399A5 (ja) 2013-05-30
JP5439583B2 JP5439583B2 (ja) 2014-03-12

Family

ID=42980095

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012505938A Expired - Fee Related JP5439583B2 (ja) 2009-04-16 2010-04-15 一時的なウェハーボンディング及びデボンディングのための改善された装置
JP2013258887A Abandoned JP2014099624A (ja) 2009-04-16 2013-12-16 一時的なウェハーボンディング及びデボンディングのための改善された装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013258887A Abandoned JP2014099624A (ja) 2009-04-16 2013-12-16 一時的なウェハーボンディング及びデボンディングのための改善された装置

Country Status (6)

Country Link
US (5) US8764026B2 (ja)
EP (1) EP2419928A2 (ja)
JP (2) JP5439583B2 (ja)
KR (1) KR20120027237A (ja)
CN (1) CN102460677A (ja)
WO (1) WO2010121068A2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012231127A (ja) * 2011-04-12 2012-11-22 Tokyo Electron Ltd 剥離方法、プログラム、コンピュータ記憶媒体、剥離装置及び剥離システム
JP2013526021A (ja) * 2010-04-16 2013-06-20 ズス・マイクロテック・リソグラフィ・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング 一時的にボンディングされたウエハをデボンディングするための改善された装置と方法
JP2014514728A (ja) * 2011-02-28 2014-06-19 ダウ コーニング コーポレーション ウェハ接着システム、及びその接着並びに剥離方法
JP2015023137A (ja) * 2013-07-18 2015-02-02 株式会社ディスコ 剥離装置及び剥離方法
WO2015030032A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体およびその応用
WO2015030031A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体およびその応用
WO2015030030A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体及びその応用
JP2015517201A (ja) * 2012-03-16 2015-06-18 スス マイクロテク リソグラフィー,ゲーエムベーハー 極薄ウェハーの仮接合の方法及び装置
JP2015138976A (ja) * 2014-01-20 2015-07-30 スス マイクロテク リソグラフィー,ゲーエムベーハー 基板保持のシステム及び方法
JP2015144319A (ja) * 2011-10-27 2015-08-06 ズース マイクロテック リトグラフィー ゲゼルシャフト ミット ベシュレンクテル ハフツング 一時的に接着された半導体ウエハの剥離方法
JP2018026413A (ja) * 2016-08-09 2018-02-15 東京エレクトロン株式会社 接合装置および接合システム
JP7475503B2 (ja) 2021-02-04 2024-04-26 三菱電機株式会社 半導体基板の製造方法および半導体装置の製造方法

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009018156A1 (de) * 2009-04-21 2010-11-18 Ev Group Gmbh Vorrichtung und Verfahren zum Trennen eines Substrats von einem Trägersubstrat
US7902851B2 (en) 2009-06-10 2011-03-08 Medtronic, Inc. Hermeticity testing
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
JP5705873B2 (ja) * 2009-12-23 2015-04-22 スス マイクロテク リソグラフィー,ゲーエムベーハー 自動熱スライド剥離装置
SG183820A1 (en) 2010-03-31 2012-10-30 Ev Group E Thallner Gmbh Method for producing a wafer provided with chips
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
US9859141B2 (en) * 2010-04-15 2018-01-02 Suss Microtec Lithography Gmbh Apparatus and method for aligning and centering wafers
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9171721B2 (en) 2010-10-26 2015-10-27 Medtronic, Inc. Laser assisted direct bonding
US8415805B2 (en) * 2010-12-17 2013-04-09 Skyworks Solutions, Inc. Etched wafers and methods of forming the same
US8796109B2 (en) 2010-12-23 2014-08-05 Medtronic, Inc. Techniques for bonding substrates using an intermediate layer
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
KR20150108428A (ko) 2011-04-11 2015-09-25 에베 그룹 에. 탈너 게엠베하 가요성의 캐리어 마운트 및 캐리어 기판을 분리하기 위한 장치 및 방법
US9227295B2 (en) 2011-05-27 2016-01-05 Corning Incorporated Non-polished glass wafer, thinning system and method for using the non-polished glass wafer to thin a semiconductor wafer
JP2013008915A (ja) * 2011-06-27 2013-01-10 Toshiba Corp 基板加工方法及び基板加工装置
FR2980280B1 (fr) * 2011-09-20 2013-10-11 Soitec Silicon On Insulator Procede de separation d'une couche dans une structure composite
US9393669B2 (en) * 2011-10-21 2016-07-19 Strasbaugh Systems and methods of processing substrates
US8858756B2 (en) * 2011-10-31 2014-10-14 Masahiro Lee Ultrathin wafer debonding systems
KR101869922B1 (ko) * 2011-11-28 2018-06-22 삼성디스플레이 주식회사 진공 필링 장치 및 진공 필링 방법
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
KR20200019772A (ko) 2011-12-22 2020-02-24 에베 그룹 에. 탈너 게엠베하 가요성 기판 홀더, 제1 기판을 분리하기 위한 장치 및 방법
JP2013147622A (ja) * 2012-01-23 2013-08-01 Nitto Denko Corp 貼り合わされた2枚の板の分離方法
US20150017434A1 (en) * 2012-01-30 2015-01-15 3M Innovative Properties Company Apparatus, hybrid laminated body, method, and materials for temporary substrate support
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2013119976A1 (en) * 2012-02-08 2013-08-15 Brewer Science Inc. Fluorinated silane coating compositions for thin wafer bonding and handling
JP6055597B2 (ja) * 2012-02-09 2016-12-27 東京応化工業株式会社 貼付方法及び貼付装置
KR101223633B1 (ko) * 2012-02-20 2013-01-17 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 처리방법
JP5687647B2 (ja) * 2012-03-14 2015-03-18 株式会社東芝 半導体装置の製造方法、半導体製造装置
JP5591859B2 (ja) * 2012-03-23 2014-09-17 株式会社東芝 基板の分離方法及び分離装置
US8697542B2 (en) 2012-04-12 2014-04-15 The Research Foundation Of State University Of New York Method for thin die-to-wafer bonding
US9111982B2 (en) 2012-04-25 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer assembly with carrier wafer
JP5752639B2 (ja) * 2012-05-28 2015-07-22 東京エレクトロン株式会社 接合システム、接合方法、プログラム及びコンピュータ記憶媒体
US8945344B2 (en) 2012-07-20 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of separating bonded wafers
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR101970291B1 (ko) 2012-08-03 2019-04-18 삼성전자주식회사 반도체 패키지의 제조 방법
CN103035482B (zh) * 2012-08-15 2016-04-13 上海华虹宏力半导体制造有限公司 硅片的临时键合方法
US9457446B2 (en) 2012-10-01 2016-10-04 Strasbaugh Methods and systems for use in grind shape control adaptation
US9610669B2 (en) 2012-10-01 2017-04-04 Strasbaugh Methods and systems for use in grind spindle alignment
US9269623B2 (en) 2012-10-25 2016-02-23 Rohm And Haas Electronic Materials Llc Ephemeral bonding
US20140144593A1 (en) 2012-11-28 2014-05-29 International Business Machiness Corporation Wafer debonding using long-wavelength infrared radiation ablation
US9636782B2 (en) 2012-11-28 2017-05-02 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation
US9543197B2 (en) * 2012-12-19 2017-01-10 Intel Corporation Package with dielectric or anisotropic conductive (ACF) buildup layer
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
WO2014165406A1 (en) * 2013-04-01 2014-10-09 Brewer Science Inc. Apparatus and method for thin wafer transfer
WO2014168578A1 (en) * 2013-04-10 2014-10-16 Applied Materials South East Asia Pte. Ltd. Wafer bonding total thickness variation improvement by contour confinement method
TWI576190B (zh) * 2013-08-01 2017-04-01 Ibm 使用中段波長紅外光輻射燒蝕之晶圓剝離
US9315696B2 (en) 2013-10-31 2016-04-19 Dow Global Technologies Llc Ephemeral bonding
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
US9349629B2 (en) * 2014-01-23 2016-05-24 Lam Research Corporation Touch auto-calibration of process modules
US10160209B2 (en) 2014-01-28 2018-12-25 Hewlett-Packard Development Company, L.P. Flexible carrier for fluid flow structure
US9355881B2 (en) * 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
US9333735B2 (en) 2014-04-03 2016-05-10 Globalfoundries Inc. Methods for operating a debonder
CN104051308B (zh) * 2014-06-27 2017-05-24 广东先导先进材料股份有限公司 一种晶片剥离装置、***及控制晶片剥离的装置
US10276409B2 (en) 2014-06-27 2019-04-30 Erich Thallner Sample holder, device and method for detaching of a first substrate
US9475272B2 (en) * 2014-10-09 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. De-bonding and cleaning process and system
US20160133486A1 (en) 2014-11-07 2016-05-12 International Business Machines Corporation Double Layer Release Temporary Bond and Debond Processes and Systems
US9968794B2 (en) 2014-12-24 2018-05-15 Medtronic, Inc. Implantable medical device system including feedthrough assembly and method of forming same
US9865533B2 (en) 2014-12-24 2018-01-09 Medtronic, Inc. Feedthrough assemblies
US10136535B2 (en) 2014-12-24 2018-11-20 Medtronic, Inc. Hermetically-sealed packages including feedthrough assemblies
US10124559B2 (en) 2014-12-24 2018-11-13 Medtronic, Inc. Kinetically limited nano-scale diffusion bond structures and methods
CN104503623A (zh) * 2015-01-06 2015-04-08 合肥鑫晟光电科技有限公司 触摸面板与显示模组的分离方法及***
US9644118B2 (en) 2015-03-03 2017-05-09 Dow Global Technologies Llc Method of releasably attaching a semiconductor substrate to a carrier
US10354905B2 (en) * 2015-03-11 2019-07-16 Nv Bekaert Sa Carrier for temporary bonded wafers
US10718606B2 (en) * 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
US10052859B2 (en) * 2015-05-01 2018-08-21 Euna Park Apparatus and method for reclaiming curved and bendable display screens
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US9640418B2 (en) * 2015-05-15 2017-05-02 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
CN105023850B (zh) * 2015-07-21 2018-02-23 华进半导体封装先导技术研发中心有限公司 全反射激光拆键合方法
US20180323096A1 (en) * 2015-11-03 2018-11-08 Board Of Regents, The University Of Texas System Systems and methods for passive alignment of semiconductor wafers
US10384433B2 (en) * 2015-12-11 2019-08-20 Suma Consulting and Investments, Inc. Apparatus and method to extract an object from a base surface using vibration
US10098589B2 (en) 2015-12-21 2018-10-16 Medtronic, Inc. Sealed package and method of forming same
DE102017103212B4 (de) * 2016-02-24 2024-01-25 Suss Microtec Lithography Gmbh Halbleiterstruktur-Bondungsvorrichtung und zugehörige Techniken
WO2017168531A1 (ja) * 2016-03-28 2017-10-05 株式会社ニコン 基板貼り合わせ装置および基板貼り合わせ方法
CN107293504B (zh) * 2016-03-31 2020-05-01 上海微电子装备(集团)股份有限公司 键合加热控制装置及其方法
US10373858B2 (en) 2016-04-06 2019-08-06 Lam Research Corporation Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
DE102016106351A1 (de) 2016-04-07 2017-10-12 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zum Bonden zweier Substrate
CN105789059B (zh) * 2016-04-19 2018-08-03 浙江中纳晶微电子科技有限公司 晶圆键合后分离的方法
USD815159S1 (en) * 2016-05-16 2018-04-10 Cost Effective Equipment Llc Mechanical debonder
JP6700130B2 (ja) * 2016-07-12 2020-05-27 東京エレクトロン株式会社 接合システム
CN107665848B (zh) * 2016-07-29 2020-08-25 上海微电子装备(集团)股份有限公司 一种解键合调平装置及解键合方法
US10663434B2 (en) * 2017-03-31 2020-05-26 Sonix, Inc. Wafer chuck
JP6980421B2 (ja) * 2017-06-16 2021-12-15 株式会社ディスコ ウエーハの加工方法
US10381404B2 (en) 2017-08-07 2019-08-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with memory cells and methods for producing the same
US10403598B2 (en) 2017-08-11 2019-09-03 Micron Technology, Inc. Methods and system for processing semiconductor device structures
US10170443B1 (en) 2017-11-28 2019-01-01 International Business Machines Corporation Debonding chips from wafer
CN107946407A (zh) * 2017-11-29 2018-04-20 北京创昱科技有限公司 一种新型独立驱动的薄膜分离机构
WO2019106846A1 (ja) * 2017-12-01 2019-06-06 日立化成株式会社 半導体装置の製造方法、仮固定材用樹脂組成物、及び仮固定材用積層フィルム
KR102505213B1 (ko) * 2017-12-08 2023-03-03 삼성전자주식회사 분리용 전자 장치 및 이의 공정 방법
US10665494B2 (en) 2018-01-31 2020-05-26 Applied Materials, Inc. Automated apparatus to temporarily attach substrates to carriers without adhesives for processing
JP7042667B2 (ja) * 2018-03-28 2022-03-28 古河電気工業株式会社 半導体チップの製造方法
CN110349878A (zh) * 2018-04-02 2019-10-18 锡宬国际有限公司 薄型晶圆前端处理设备与应用其的薄型晶圆前端处理方法
US10811299B2 (en) * 2018-05-04 2020-10-20 Lam Research Corporation Wafer chuck assembly
TWI681500B (zh) * 2018-10-26 2020-01-01 志聖工業股份有限公司 晶圓加工機台及其加工方法
CN109273389B (zh) * 2018-11-01 2024-06-14 苏州展德自动化设备有限公司 晶圆保护纸自动剥离设备
US10576585B1 (en) 2018-12-29 2020-03-03 Cree, Inc. Laser-assisted method for parting crystalline material
US11024501B2 (en) 2018-12-29 2021-06-01 Cree, Inc. Carrier-assisted method for parting crystalline material along laser damage region
US10562130B1 (en) 2018-12-29 2020-02-18 Cree, Inc. Laser-assisted method for parting crystalline material
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR102680045B1 (ko) 2019-12-09 2024-06-28 쓰리엠 이노베이티브 프로퍼티즈 컴파니 접착 필름
US11107716B1 (en) * 2020-02-06 2021-08-31 Pyxis Cf Pte. Ltd. Automation line for processing a molded panel
CN111916384B (zh) * 2020-08-17 2022-05-17 鑫天虹(厦门)科技有限公司 一种键合机对准模块和键合机
US11996384B2 (en) * 2020-12-15 2024-05-28 Pulseforge, Inc. Method and apparatus for debonding temporarily bonded wafers in wafer-level packaging applications
KR102585352B1 (ko) * 2021-02-02 2023-10-06 주식회사 플래닝썬 발포 테이프를 이용한 박형 웨이퍼 취급 방법
US20230067088A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature controllable bonder equipment for substrate bonding
CN113838777B (zh) * 2021-09-03 2023-08-25 北京中科镭特电子有限公司 一种激光解键合的检测控制***
KR20230114922A (ko) * 2022-01-26 2023-08-02 주식회사 엘지화학 반도체 공정용 점착 조성물, 이를 포함하는 반도체 공정용 필름 및 이를 이용한 반도체 패키지 제조 방법
CN115291477B (zh) * 2022-08-26 2023-07-25 苏师大半导体材料与设备研究院(邳州)有限公司 一种半导体制造晶圆光刻设备
CN115464484B (zh) * 2022-10-14 2024-06-07 杭州乾晶半导体有限公司 一种碳化硅晶片双面加工方法以及相应的装置
WO2024138015A1 (en) * 2022-12-21 2024-06-27 Setex Technologies, Inc. A low-residue high temperature-resistant dry adhesive and methods of use
US20240222319A1 (en) * 2022-12-28 2024-07-04 Adeia Semiconductor Bonding Technologies Inc. Debonding repair devices
CN115939008B (zh) * 2023-01-06 2023-05-30 无锡先为科技有限公司 晶片校正机构及半导体制造设备
CN116825698A (zh) * 2023-08-07 2023-09-29 江苏双晶新能源科技有限公司 一种用于脱胶机自动装载料盒的操作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
WO2008072543A1 (ja) * 2006-12-15 2008-06-19 Tokyo Electron Limited 貼り合せ基板の分離方法、貼り合せ基板の分離装置及びプログラムを記録したコンピュータ読み取り可能な記録媒体

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046985A (en) * 1974-11-25 1977-09-06 International Business Machines Corporation Semiconductor wafer alignment apparatus
US5427644A (en) * 1993-01-11 1995-06-27 Tokyo Seimitsu Co., Ltd. Method of manufacturing semiconductor wafer and system therefor
JP2862754B2 (ja) * 1993-04-19 1999-03-03 東京エレクトロン株式会社 処理装置及び回転部材
US5466325A (en) * 1993-06-02 1995-11-14 Nitto Denko Corporation Resist removing method, and curable pressure-sensitive adhesive, adhesive sheets and apparatus used for the method
KR0165467B1 (ko) * 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
CA2232796C (en) * 1997-03-26 2002-01-22 Canon Kabushiki Kaisha Thin film forming process
US6149758A (en) * 1997-06-20 2000-11-21 Lintec Corporation Sheet removing apparatus and method
US6540861B2 (en) * 1998-04-01 2003-04-01 Canon Kabushiki Kaisha Member separating apparatus and processing apparatus
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
DK1214118T4 (da) * 1999-04-29 2014-03-03 Peter James Brian Lamb Apparat til anbringelse af et ikke-flydbart objekt eller et ikke-flydbart medikament i en vagina
US6221740B1 (en) * 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
DE10008111A1 (de) * 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Vorrichtung zum Vakuumpressen von DVD-Substraten
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6827092B1 (en) * 2000-12-22 2004-12-07 Lam Research Corporation Wafer backside plate for use in a spin, rinse, and dry module and methods for making and implementing the same
AT502233B1 (de) 2001-06-07 2007-04-15 Thallner Erich Vorrichtung zum lösen eines trägers von einer halbleiterscheibe
US6638835B2 (en) 2001-12-11 2003-10-28 Intel Corporation Method for bonding and debonding films using a high-temperature polymer
JP3918556B2 (ja) 2001-12-28 2007-05-23 三菱電機株式会社 貼付けウエハ分離装置および貼付けウエハ分離方法
US7367773B2 (en) * 2002-05-09 2008-05-06 Maxtor Corporation Apparatus for combining or separating disk pairs simultaneously
JP4565804B2 (ja) 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー 被研削基材を含む積層体、その製造方法並びに積層体を用いた極薄基材の製造方法及びそのための装置
CN1703773B (zh) * 2002-06-03 2011-11-16 3M创新有限公司 层压体以及用该层压体制造超薄基片的方法和设备
US7187162B2 (en) * 2002-12-16 2007-03-06 S.O.I.Tec Silicon On Insulator Technologies S.A. Tools and methods for disuniting semiconductor wafers
JP2005026608A (ja) * 2003-07-02 2005-01-27 Tokyo Electron Ltd 接合方法および接合装置
JP2005051055A (ja) * 2003-07-29 2005-02-24 Tokyo Electron Ltd 貼合せ方法および貼合せ装置
JP4130167B2 (ja) 2003-10-06 2008-08-06 日東電工株式会社 半導体ウエハの剥離方法
JP4592270B2 (ja) * 2003-10-06 2010-12-01 日東電工株式会社 半導体ウエハの支持材からの剥離方法およびこれを用いた装置
JP2006316078A (ja) * 2003-10-17 2006-11-24 Lintec Corp 接着テープの剥離方法及び剥離装置
US20050150597A1 (en) * 2004-01-09 2005-07-14 Silicon Genesis Corporation Apparatus and method for controlled cleaving
JP4326418B2 (ja) * 2004-07-16 2009-09-09 株式会社東京精密 フィルム剥離方法およびフィルム剥離装置
US7625198B2 (en) * 2004-08-11 2009-12-01 Cornell Research Foundation, Inc. Modular fabrication systems and methods
JP4792719B2 (ja) * 2004-08-25 2011-10-12 東京エレクトロン株式会社 成膜装置及び成膜方法
JP4401322B2 (ja) * 2005-04-18 2010-01-20 日東電工株式会社 支持板分離装置およびこれを用いた支持板分離方法
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US7589406B2 (en) * 2005-06-27 2009-09-15 Micron Technology, Inc. Stacked semiconductor component
DE102005055769A1 (de) * 2005-11-21 2007-05-24 Tesa Ag Verfahren zur temporären Fixierung eines polymeren Schichtmaterials auf rauen Oberflächen
JP4781802B2 (ja) * 2005-12-06 2011-09-28 東京応化工業株式会社 サポートプレートの貼り合わせ手段及び貼り合わせ装置、並びにサポートプレートの貼り合わせ方法
JP4687566B2 (ja) * 2006-05-24 2011-05-25 ティアック株式会社 ディスク装置
DE102006031434B4 (de) * 2006-07-07 2019-11-14 Erich Thallner Handhabungsvorrichtung sowie Handhabungsverfahren für Wafer
JP4666514B2 (ja) * 2006-07-20 2011-04-06 リンテック株式会社 シート剥離装置及び剥離方法
JP5461760B2 (ja) * 2006-07-26 2014-04-02 株式会社カネカ 半導体製造装置及び半導体製造方法
US20080200011A1 (en) 2006-10-06 2008-08-21 Pillalamarri Sunil K High-temperature, spin-on, bonding compositions for temporary wafer bonding using sliding approach
JP2008182016A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd 貼り合わせ装置、貼り合わせ方法
US20080302481A1 (en) * 2007-06-07 2008-12-11 Tru-Si Technologies, Inc. Method and apparatus for debonding of structures which are bonded together, including (but not limited to) debonding of semiconductor wafers from carriers when the bonding is effected by double-sided adhesive tape
CN101779268B (zh) 2007-06-25 2013-11-06 布鲁尔科技公司 高温旋涂暂时性粘合组合物
FR2925978B1 (fr) * 2007-12-28 2010-01-29 Commissariat Energie Atomique Procede et dispositif de separation d'une structure.
RU2010129076A (ru) 2008-01-24 2012-01-20 Брюэр Сайенс Инк. (Us) Способ обратимого крепления полупроводниковой пластины со сформированными устройствами к несущей подложке
DE102008018536B4 (de) * 2008-04-12 2020-08-13 Erich Thallner Vorrichtung und Verfahren zum Aufbringen und/oder Ablösen eines Wafers auf einen/von einem Träger

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
WO2008072543A1 (ja) * 2006-12-15 2008-06-19 Tokyo Electron Limited 貼り合せ基板の分離方法、貼り合せ基板の分離装置及びプログラムを記録したコンピュータ読み取り可能な記録媒体

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013526021A (ja) * 2010-04-16 2013-06-20 ズス・マイクロテック・リソグラフィ・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング 一時的にボンディングされたウエハをデボンディングするための改善された装置と方法
JP2014514728A (ja) * 2011-02-28 2014-06-19 ダウ コーニング コーポレーション ウェハ接着システム、及びその接着並びに剥離方法
JP2012231127A (ja) * 2011-04-12 2012-11-22 Tokyo Electron Ltd 剥離方法、プログラム、コンピュータ記憶媒体、剥離装置及び剥離システム
JP2015144319A (ja) * 2011-10-27 2015-08-06 ズース マイクロテック リトグラフィー ゲゼルシャフト ミット ベシュレンクテル ハフツング 一時的に接着された半導体ウエハの剥離方法
JP2015517201A (ja) * 2012-03-16 2015-06-18 スス マイクロテク リソグラフィー,ゲーエムベーハー 極薄ウェハーの仮接合の方法及び装置
JP2015023137A (ja) * 2013-07-18 2015-02-02 株式会社ディスコ 剥離装置及び剥離方法
WO2015030030A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体及びその応用
JP2015050269A (ja) * 2013-08-30 2015-03-16 富士フイルム株式会社 積層体およびその応用
JP2015050268A (ja) * 2013-08-30 2015-03-16 富士フイルム株式会社 積層体及びその応用
JP2015065401A (ja) * 2013-08-30 2015-04-09 富士フイルム株式会社 積層体およびその応用
WO2015030031A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体およびその応用
WO2015030032A1 (ja) * 2013-08-30 2015-03-05 富士フイルム株式会社 積層体およびその応用
JP2015138976A (ja) * 2014-01-20 2015-07-30 スス マイクロテク リソグラフィー,ゲーエムベーハー 基板保持のシステム及び方法
JP2018026413A (ja) * 2016-08-09 2018-02-15 東京エレクトロン株式会社 接合装置および接合システム
JP7475503B2 (ja) 2021-02-04 2024-04-26 三菱電機株式会社 半導体基板の製造方法および半導体装置の製造方法

Also Published As

Publication number Publication date
US20100263794A1 (en) 2010-10-21
EP2419928A2 (en) 2012-02-22
US20100266373A1 (en) 2010-10-21
US9281229B2 (en) 2016-03-08
KR20120027237A (ko) 2012-03-21
US20150083342A1 (en) 2015-03-26
CN102460677A (zh) 2012-05-16
US8181688B2 (en) 2012-05-22
WO2010121068A2 (en) 2010-10-21
US8919412B2 (en) 2014-12-30
US20110014774A1 (en) 2011-01-20
JP2014099624A (ja) 2014-05-29
US20110010908A1 (en) 2011-01-20
WO2010121068A3 (en) 2011-01-13
JP5439583B2 (ja) 2014-03-12
US8267143B2 (en) 2012-09-18
US8764026B2 (en) 2014-07-01

Similar Documents

Publication Publication Date Title
JP5439583B2 (ja) 一時的なウェハーボンディング及びデボンディングのための改善された装置
JP6162829B2 (ja) 一時的にボンディングされたウエハをデボンディングするための改善された装置と方法
JP5705873B2 (ja) 自動熱スライド剥離装置
JP4781802B2 (ja) サポートプレートの貼り合わせ手段及び貼り合わせ装置、並びにサポートプレートの貼り合わせ方法
US9064686B2 (en) Method and apparatus for temporary bonding of ultra thin wafers
KR101860956B1 (ko) 기판홀더쌍, 디바이스의 제조방법, 분리장치, 기판의 분리방법, 기판홀더 및 기판 위치맞춤 장치
JP2012524399A5 (ja)
TWI630048B (zh) Bonding device, bonding system, bonding method, and computer memory medium

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130326

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130326

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20130326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130805

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130813

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130902

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131011

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131216

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees