JP2012516043A - 集積回路フィールドの限界寸法またはオーバーレイのバラツキの算定 - Google Patents

集積回路フィールドの限界寸法またはオーバーレイのバラツキの算定 Download PDF

Info

Publication number
JP2012516043A
JP2012516043A JP2011546650A JP2011546650A JP2012516043A JP 2012516043 A JP2012516043 A JP 2012516043A JP 2011546650 A JP2011546650 A JP 2011546650A JP 2011546650 A JP2011546650 A JP 2011546650A JP 2012516043 A JP2012516043 A JP 2012516043A
Authority
JP
Japan
Prior art keywords
target
mask
pattern
wafer
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011546650A
Other languages
English (en)
Other versions
JP5466715B2 (ja
Inventor
モリーリョ、ジャイム
エルドン、ロジャー
アウシュニット、クリストファー
ランキン、ジェド、ヒッコリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012516043A publication Critical patent/JP2012516043A/ja
Application granted granted Critical
Publication of JP5466715B2 publication Critical patent/JP5466715B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】半導体製造プロセスの複数のマスキング・レベルの間でマスク−ウエハ間の相関を取るための方法を提供する。
【解決手段】該方法は、2つ以上のパターニング・レイヤ上の、一セットの共通位置における、パターン整列、限界寸法、およびオーバーレイの測定に適した構造パターンを包含するコンパクトな標的を生成するステップと、機能回路構造パターンおよび機能回路構造パターンの間の位置にある該コンパクトな標的を包含する、少なくとも2つのマスクを生成するステップとを含む。該方法は、次いで、標的を測定するステップと、マスク間のオーバーレイのバラツキを算定するステップと、一つのマスクを使ってウエハ上に第一リソグラフィ・プロセシング・レイヤを造形・形成するステップと、別のマスクを使って、ウエハ上に第一レイヤに重ねて第二リソグラフィ・プロセシング・レイヤを造形・形成するステップとを含む。該方法は、ウエハ上の一つ以上のレイヤにおける標的を測定するステップと、マスクの測定値とウエハの測定値との相関をとって限界寸法およびオーバーレイのバラツキのマスク誘起成分とリソグラフィ誘起成分とを識別するステップとをさらに含む。
【選択図】図7

Description

本発明は、リソグラフィ・プロセスによる集積回路の製造に関し、具体的には、集積回路フィールドの限界寸法またはオーバーレイの、チップ・レベルおよびレイヤ内、並びにチップ・レベルおよびレイヤ間のバラツキを算定するための方法およびシステムに関する。
半導体集積回路の製造では、単一の半導体ウエハ上へのプロセス・レベルの逐次的パターニングが必要である。露光造形ツールで、ウエハの連続するレベル上にリソグラフィ手法によって複数の集積回路パターンまたはフィールドがプリントされる。これらのツールは、通常、ステップ・アンド・リピート・リソグラフィ露光造形またはステップ・アンド・スキャン・リソグラフィ露光造形を用いて異なったレベルのパターン形成をし、一つ以上の集積回路を包含するステッパ・フィールドの逐次的露光造形によってウエハの全面積にパターン形成がされる。通常、集積回路を生成するには、20〜50のレベルが必要である。場合によって、単一のレベルのパターン形成をするために複数のマスクが必要となる。
集積回路デバイスをうまく作製するには、マスク(レチクル)設定の位置合わせおよび次のマスク・レベルへのマスク・レベルのオーバーレイ整列の高精度で正確な測定が必要となる。現在の製造技術では、マスク位置合わせ測定とウエハ・レベルのオーバーレイ測定とに別々の方法を使っている。バー・イン・バー(ボックス・イン・ボックス)および格子標的の使用では、マスク位置合わせの情報は得られず、ウエハ・レベル上のオーバーレイの測定ができるだけである。現在の技術には、マスク・レチクルのフィールド周りへの配置に対するサイズ上の制約に起因する使用限界がある。また、これらの標的は、これらが機能チップ内にある形状パターンの密度から外れている場合、プロセス誘起のバラツキに大きく影響される。しかして、これらの標的は化学的機械的な平坦化、熱処理、リソグラフィ・イメージ処理による影響を受けやすい。
従って、本発明の目的は、チップのレベル内のオーバーレイ誤差の算定のための改良された標的および方法を提供することである。
よって、本発明の一つの態様は、半導体製造プロセスの複数のマスキング・レベルの間でマスク−ウエハ間の相関をとる方法を対象としている。該方法は、2つ以上のパターニング・レイヤ上の、一セットの共通位置における、パターン整列、限界寸法、およびオーバーレイの測定に適した構造パターンを包含するコンパクトな標的を生成するステップと、機能回路構造パターンおよび機能回路構造パターンの間の位置にある該コンパクトな標的を包含する少なくとも2つのマスクを生成するステップとを含む。該方法は、次いで、マスク上の標的を測定するステップと、マスクの間のオーバーレイのバラツキを算定するステップと、マスクの一つを使って、ウエハ上に第一リソグラフィ・プロセシング・レイヤを露光造形・形成するステップと、マスクの別のマスクを使って、ウエハ上に第一レイヤに重ねて第二リソグラフィ・プロセシング・レイヤを露光造形・形成するステップとを含む。該方法は、ウエハ上のレイヤの一つ以上における標的を測定するステップと、マスクの測定値とウエハの測定値との相関をとって、限界寸法およびオーバーレイのバラツキのマスク誘起成分とリソグラフィ誘起成分とを識別するステップとをさらに含む。
該方法は、望ましくは相関されたマスクおよびウエハの測定値を使ってマスクおよびウエハの作製プロセスを制御し、ウエハの限界寸法およびオーバーレイのバラツキを最小化するステップをさらに含む。
該コンパクトな標的は、望ましくはウエハ上に露光造形・生成されたとき光学顕微鏡で解像可能な第一セットの光学的標的構造(optical target structures)と、ウエハ上に露光造形・生成されたとき、光学顕微鏡では解像できないが、SEMまたはAFM顕微鏡によって解像可能な第二セットの小片状標的構造(chip−like target structures)とを含む。第一セットの光学的標的構造は、オーバーレイを測定するために使用でき、第二セットの小片状標的構造は、限界寸法を測定するために使うことができる。
第一セットの光学的標的構造には、マスクによってウエハ上に投影されたとき、相互に隣接する、マスク上のライン群を含めることができる。
また、第一セットの光学的標的構造には、直交格子の原点に中心を有し180°の対称性を持つサブパターンを有する、一つのマスク上の第一標的パターンと、該第一標的パターンと同じ位置に中心を有し、180°の対称性を持つサブパターンを有する、別のマスク上の第二標的パターンとを含めることができ、第二標的パターンのサブパターンは、第一標的パターンのサブパターンとは異なる位置に配置される。
また、第一セットの光学的標的構造は、一つのマスク上に、ピッチpの直交格子の原点に中心を有する第一標的パターンを含めることができ、該第一標的パターンのサブパターンは、
(−M,N)p、(N,M)p、(M,−N)p 、および(−N,−M)p
の座標点を有し、
NおよびMは整数であり、各第一標的サブパターンの第一標的パターンの中心からの距離rは、
r=p√(N+M
の式で表され、別のマスク上の第二標的パターンは、第一標的パターンと同じ位置に中心を有し、第二標的パターンのサブパターンは、
(−M+m,N+n)p、(N+n,M+m)p、(M+m,−N+n)p および(−N+n,−M+m)p
の座標点を有し、nおよびmは整数であり、
|n|+|m|=2i
であり、iは整数である。
第二セットの小片状標的構造には、シャロー・トレンチ・アイソレーション構造、ゲート構造、コンタクト構造、および金属ライン構造など、機能回路構造中に非機能的構造を含めることができる。第二セットの小片状標的構造は、望ましくは、約200nm以下のライン幅および間隔を有し、光学的構造近辺の低い密度から光学的構造から離れるほどより高い密度になる密度傾斜を有する。
標的サブパターンは、マスクおよびウエハ上のパターン固有の限界寸法の測定、マスク上のパターン整列の測定、およびウエハ上の2つ以上のレイヤの間のオーバーレイの測定を可能にする。これら標的は、製造されるチップの未使用部域内に配置するのに十分な小ささに、望ましくは一縁辺が10μmより小さいようにすべきである。
別の態様において、本発明は、半導体製造プロセスの複数のマスキング・レベルの間のマスク−ウエハ間の相関をとるための構造パターン、を有するマスクを対象とする。該マスクは、ウエハ上に作製されるチップに対応する領域中の、マスク上の機能回路構造パターンと、機能回路構造パターンの間の位置にある、マスク上の標的とを含む。構造パターンを包含する該標的は、ウエハ上の少なくとも一つのパターニング・レイヤ上の、一セットの共通位置における、パターン整列、限界寸法、およびオーバーレイの測定に適している。該標的構造は、ウエハ上に露光造形・生成されたとき光学顕微鏡で解像可能な第一セットの光学的標的構造と、ウエハ上に露光造形・生成されたとき光学顕微鏡では解像できないが、SEMまたはAFM顕微鏡によって解像可能な第二セットの小片状標的構造とを含む。
これら標的は、ウエハ上に作製されるチップに対応する領域内に配置され、製造されるチップの未使用部域内に配置するのに十分な小ささで、望ましくは一縁辺が10μmより小さい。光学的構造は、望ましくは、該標的の相向かい合う両側に配置され、小片状構造は、光学的構造の間の標的の相向かい合う両側に配置される。通常、第一セットの光学的標的構造はオーバーレイを測定するために用いられ、第二セットの小片状標的構造は、通常、限界寸法を測定するために用いられる。
第一セットの光学的標的構造には、マスク上のライン群を含めることができる。また、第一セットの光学的標的構造には、直交格子の原点に中心を有し180°の対象性を持つサブパターンを有する標的パターンを含めることができる。また、該第一セットの光学的標的構造には、ピッチpの直交格子の原点に中心を有する標的パターンを含めることができ、第一標的パターンのサブパターンは、
(−M,N)p、(N,M)p、(M,−N)p 、および(−N,−M)p
の座標点を有し、NおよびMは整数であり、各第一標的サブパターンの第一標的パターンの中心からの距離rは、
r=p√(N+M
の式で表される。
第二セットの小片状標的構造は、望ましくは、シャロー・トレンチ・アイソレーション構造、ゲート構造、コンタクト構造、および金属ライン構造など、機能回路構造中の非機能的構造を含む。小片状標的構造は、望ましくは、約200nm以下のライン幅および間隔を有し、光学的構造近辺の低い密度から光学的構造から離れるほどより高い密度になる密度傾斜を有する。
次に、添付の図面を参照しながら本発明の実施形態を説明する。
本発明の標的中に用いられる、光学的構造中の花形(blossom)サブパターンの一つの実施形態の単一のリソグラフィ・レベル上のレイアウトの上面図である。 本発明のある実施形態の標的に用いられる、図1に示された型の花形光学的構造の、連続するリソグラフィ・レベル1から6のレイアウトの上面図である。 本発明のある実施形態の標的に用いられる、マイクロ花形光学的構造の3つの異なるリソグラフィ・レベルからのレイアウトの上面図である。 本発明のある実施形態の標的に用いられる、STIおよびゲート小片状構造の実施形態の上面図である。 光学的および小片状構造両方の組合せを用いた、本発明のある実施形態の好適な統合標的(integrated target)の上面図である。 図5の標的の光学的構造の上面図である。 本発明のある実施形態による、マスクおよびウエハ・レイヤのパターン整列およびオーバーレイの誤差を算定する好適な方法のフロー・チャートである。 本発明のある実施形態の、4つの異なるマスクおよびリソグラフィ・レイヤのプロセシング後の積層された光学的構造の上面図である。 本発明のある実施形態の、2つの異なるマスクおよびリソグラフィ・レイヤのプロセシング後の積層された小片状構造の上面図である。 本発明による、半導体製造プロセスの複数のマスキング・レイヤの間の、マスク−ウエハ間の相関を算定するための好適な方法のフロー・チャートである。 リソグラフィ・プロセシングのレイヤとレベルとの関係の概略側面図である。 本発明のある実施形態の、リソグラフィ・プロセシングに用いられるマスクのチップ領域中および切り目領域中の統合標的配置の上面図である。
本発明の好適な実施形態を説明する中で、本明細書で図1〜12の図面を参照するものとし、その中の同じ番号は、本発明の同様な特徴を表す。
本発明は、集積回路のリソグラフィ生成における、レチクルの限界寸法(CD:critical dimension)測定および位置合わせ測定の両方を、ウエハ・レベルまたはレイヤのオーバーレイの測定と組み合わせるための、標的および方法を提供する。本発明は、ウエハのレベルまたはレイヤのオーバーレイ測定およびレチクル位置合わせ測定の精度ある相関性を可能にし、フィールドを通したオーバーレイ測定の能力を大きく向上する。また、本発明は、ウエハ露光造形誘起のオーバーレイ誤差から、レチクル誘起のオーバーレイ成分を分離する方法も提供する。このことは、一回の露光造形で必要なピッチをプリントするため十分な解像度がないという理由で、マスクが第一組のラインをプリントした後移動して第二組のラインをプリントする、二重露光造形パターニングがしばしば用いられることをかんがみれば特に重要である。
本発明の統合標的には光学的構造および小片状構造の両方が組込まれている。光学的構造は、光学顕微鏡で見ることのできる相対的に低解像度で光学的に観察可能な標的構造である。小片状構造は、ウエハ上に形成されたチップ中の機能回路に見られるような回路デバイスおよびフィーチャの構成を模した相対的に高解像度の構造であるが、それらチップ回路の部分でなくチップのオペレーションに関しては無機能である。本発明において、光学的構造は、望ましくは、オーバーレイ(OL:overlay)およびパターン整列(PP:pattern placement)の測定のため用いられる。本発明において、小片状構造は、望ましくは、主としてCDの測定に用いられるが、オーバーレイの測定のためにもある程度用いることができる。
本発明では、統合標的を、切り目部域(kerf regions)などマスク上のチップ設計に利用されていない部域およびチップ部域の未使用域中に配置する。異なったマスクおよびレベルの標的構造は、共通の位置に積み重ねられる。該標的構造を用いて、パターン整列測定とウエハのオーバーレイ測定との相関をとり、マスクCD測定とウエハCD測定との相関をとって、限界寸法およびオーバーレイのバラツキの一切のマスク成分を算定し、これらのリソグラフィ・プロセス誘起の偏差を算定することができる。最終的目的は、異なるプロセス・レベルおよびレイヤの間の、ウエハの限界寸法およびオーバーレイのバラツキを最小化することである。
光学的標的の一つの型に、米国特許第747440号および7473502で開示された花形標的があり、これら特許の開示は参照により本明細書に組込まれる。該花形標的システムは、複数のサブパターンまたは花弁(petals)を、共通の中心の周りに一定の径方向距離で、サブパターンが標的パターンの中心の周りに対称になるように、望ましくは幾何的形状の角を、さらに望ましくは正方形を形作るように配置する。他の幾何的形状を用い、サブパターンを該形状の角に配置することもできる。サブパターンは、十字形、円形、正方形、方形格子、および類似の形など、X−Y軸周りに対称な任意の形体または形体の組合せとすることができる。サブパターンの選択肢の中で十字が最も単純である。各サブパターンまたは花弁は一意的なx−y位置を有する。各花弁またはサブパターンの対称性の中心は、光学顕微鏡で測定することができるが、より高解像度の走査型電子顕微鏡(SEM:scanning electron microscope)および原子間力顕微鏡(AFM:atomic force microscopy)を用いることもできる。
図1に示されるように、花形標的の花弁の一つのグループ20が、リソグラフィ・レベル22上に示されている。標的パターン・グループ20は、ピッチpの正直交格子の上に重畳された正方形を形成している。サブパターン花弁24は、標的の中心(0,0)周りに対称に配置された十字形群から成り、この図では正方形の角に示されている。サブパターン花弁十字を構成する線分の長さは、寸法Dとして示されている。正方形の中心からの各花弁のx−y位置は、pの整数(N,M)倍数である。これら花弁の中心は、標的グループの中心から次式の半径rの距離に配置されており、
r=p√(N+M)である。
花弁の各グループは異なるリソグラフィ・レベルまたはレイヤに対応している。標的グループは、集積回路の違った部分を包含する異なったリソグラフィ・レベルまたはレイヤの各々の上に生成されるので、望ましくは、(N,M)の値は整数(n,m)ずつ増分される。本明細書での使用において、リソグラフィ・レベルという用語は、ウエハの垂直断面に見られる物理的レベルをいう。レイヤという用語は、リソグラフィ・プロセシング過程における異なったマスクによる露光造形をいう。あるレベルを生成するため一つだけのマスク露光造形レベルが必要であれば、そのリソグラフィ・レベルは一つだけのレイヤを有し得る。他方、各リソグラフィ・レベル上に回路イメージを生成するためには、複数のマスク露光造形またはレイヤが必要となるのが一般的である。この花形標的システムにおいて、各レベルまたはレイヤは、一意的な(N,M)値に対応する。各レベルまたはレイヤにおいて、花弁グループの径方向対称性が維持される。花弁の半径は、各リソグラフィ・レベルまたはレイヤに対し同一であっても異なっていてもよく、各レイヤ上の各グループの花弁の中心は、レイヤ上の該グループに対する一意的半径を定義する。増分の絶対値の和が偶数、すなわち、整数iに対し
|n|+|m|=2i
であるとの制約条件の下で、複数のレイヤのサブパターンを重畳することにより、密集した対角配列の形で、標的のオーバーレイが顕示される。図2に示されるように、標的パターン・グループ20a、20b、20c、20d、20e、および20fが、それぞれリソグラフィ・レベル1、2、3、4、5、および6の上に形成されている。レベル1の標的グループは、レベル2〜6上のグループの積み重ね配列の中心にある単一のサブパターン花弁であり、前述のような正方形パターンに形成されている。個別の標的20a、20b、20c、20d、20e、および20fは、レイヤが望ましく整列されたとき、これらの全てが同一の中心位置を有するように、それぞれのレイヤに配置される。標的の一部は、異なったレベルに配置する代わりに、同一のレベル上にリソグラフィ形成される異なるフィールド中に、異なったマスク・パターン・レイヤによって上重ねすることができる。標的パターン・グループ20a、20b、20c、20d、および20eの各々は、前述したやり方で先行するグループから増分される。連続するリソグラフィ・レベルまたはレイヤが互いの上に形成された後、組み合わされた花形標的パターン配列30に示されるように、標的パターン・グループ群も互いの上に積み重ねられている。十字形の寸法がD<2pであることを条件として、これら十字サブパターンは上記配列の中でオーバーラップすることはない。
図1および2中の正方形標的グループの各々は、90°の対称性を有する、すなわち、これらは、90°回転されても不変である。90°の対称性の代わりに、本発明の標的の光学的構造には、180°の対称性を有する花形標的グループを用いることができ、該花形標的はマイクロ花形標的としても知られる。図3のマイクロ花形標的30aに提示されているように、中央の十字花弁24aはレベル1にある一個のグループであり、また、花弁群24bはレベル2上のグループであり、十字花弁群24cはレベル3上のグループである。この図で、花弁24bのグループは、正方形の相対向する角の組にあり、花弁24cのグループは、同じ正方形の他の対向する角の組にある。このケースでは、花弁24b、24cの半径は同じで、レベル2グループとレベル3グループとの間で増分を行う必要はない。花弁24bおよび24cのグループの各々は180°の対称性を有する、すなわち、これらは180°回転しても不変である。前述のように、マイクロ花形標的に対する花弁24a、24b、および24cのグループは、異なるレベルに配置する代わりに、同一リソグラフィ・レベル上の、一つ以上のマスクで生成された一つ以上のレイヤに置くことができる。
望ましいレベルまたはレイヤの整列の測定方法は、各花弁の中心を測定するステップと、次いでそれらサブパターンの中心を使って、各レベルまたはレイヤにおける花弁のグループの中心を算定するステップと、次に全グループの中心の間のxおよびyの対ごとの差異を測定して、リソグラフィ・レベルまたはレイヤ上の標的の間の整列誤差を算定するステップと、から成る。光学的標的は、これら花形標的の代わりに、もっと単純なラインおよび十字形など他の光学形状にすることができる。
本発明の標的中に用いられる望ましい小片状構造は、シャロー・トレンチ・アイソレーション構造(RX)、トランジスタ・ゲート(PC)、コンタクト(CA)、および金属ライン(M1)など、機能回路またはデバイス構造に似せたものである。図4は、これら小片状構造の例として、望ましいシャロー・トレンチ・アイソレーション構造42およびゲート構造44を示す。両構造は、所与の幅、典型的には限界寸法の、相隔たるラインを含む。図示の例において、Aは、RXシャロー・トレンチ・アイソレーション構造の丸で囲まれた領域中の、例えば80nmの公称線幅、および例えば90nmのライン間の公称間隔幅の測定を示し、Bは、PCトランジスタ・ゲートの丸で囲まれた領域中の、例えば公称52nmの公称線幅、および例えば88nmのライン間の公称間隔幅の測定を示す。望ましい小片状コンタクト構造および金属構造も類似の構成およびサイズである。各小片状構造に対し、統合標的は、同じマスクおよびプロセス・レイヤ上に対応する光学的構造を包含する。
これら小片状構造の順序は、通常の基板工程(FEOL:front end of line)の異なるレベル上へのリソグラフィ・プロセスの順序付けを反映する。ウエハ上に露光造形・生成されるこれらの構造のサイズは、マスクまたはリソグラフィ・プロセシングあるいはその両方によるCDおよびオーバーレイの誤差を測定するために十分な小ささにすべきである。これらフィーチャの最小ライン幅寸法は、望ましくは約20〜200nmの範囲にある。これらの構造は、一般に光学顕微鏡では観測できず、測定のためにはSEMまたはAFMなどより解像度の高い方法が必要となる。標的中のこれら小片状構造の最大密度は最小ピッチにより決まり、これは最小ライン幅寸法のおよそ2倍である。本発明の統合標的システムの好適な実施形態において、この密度は重要であり、小片状構造は、通常、標的の中心近辺の最小密度から、中心から離れた標的の縁端近辺の最高密度に至るまで概して増加する密度傾斜を有する。
望ましい統合標的60が図5に示されており、該標的は、全ての所望レベルおよびレイヤに対し個別の光学的および小片状構造を組み合わせる。望ましくは、小片状構造は、標的の相対向する対角部の一組の四半分中の正方形のフィールド40中および標的の中央部に配置され、光学的構造は、相対向する対角部の他方の組の四半分中の正方形のフィールド50中、およびこれら四半分域およびフィールドの間の境界に配置される。該統合標的の好適な寸法は10μmより小さく、望ましくは2〜10μm平方の範囲にあり、この図では約5.8μmである。残りの好適な寸法も示されている。
中央の十字80は、標的60の4つの四半分の間の境界に沿った、水平方向のアーム82a、82cおよび垂直方向のアーム82b、82dを含む、光学的構造である。アーム82a、82b、82c、82dの間の中央の部域は、低密度の小片状構造または他の標的構造を包含可能な正方形フィールド40aのため空けて残されている。光学的構造フィールド50は、前述した花形標的またはマイクロ花形標的、あるいは、十字84など他の光学的構造のいずれかを包含する。十字84は、フィールド50の外縁で終端する十字ライン84a、84b、84c、84dの端部を有するように方向付けられる。後記でさらなる詳細を説明するように、光学的十字80、84自体はもとより光学的十字80のエレメントを、交互のリソグラフィ・レベルに積み重ねて、2を上回るレベルのオーバーレイの計測を可能にすることができる。
小片状構造だけに、光学近接補正(OPC:optical proximity correction)が使われる。望ましくは、フィールド40中の小片状構造の密度は、外縁に向かう対角線に沿って増大し、中央十字80および十字群84上の近接効果を最小化し、別の仕方で標的中の光学的構造への干渉を防止する。
マスク上の隣接する構造からの近接効果を最小化するため、標的60の周辺部に緩衝域70が設けられ、標的の顕微鏡測定中のパターン認識を可能にする。該緩衝域は、望ましい幅、図では約0.4μmとして示されている、を有し、その中には標的または他のフィーチャはない。小片状フィールド40および光学的構造フィールド50の向かい合った四半分の位置は、オーバーレイ測定の計測法への一切の近接効果を除去するための対角および放射対称性を提供する。
図6は、ウエハのレベルのオーバーレイ測定のため用いられる、統合標的60の光学的構造部分だけを示す。イメージを投影するため、C点を中心としてXおよびY軸に沿って方向付けられ放射状に外側に延びるセグメント82a、82b、82c、および82dで構成される十字80を投影する第一マスクが生成される。対角的に向かい合った十字群84を投影するために第二マスクが生成され、該マスクは、これら十字の外側端がフィールド50の外縁で終端し、これらの中心の間をつなぐ線が第一マスクと同じ中心点Cを通過するように設計される。両方のマスクにおいて、小片状形体(図示せず)は、望ましくは他方の対角になったフィールド40に、随意的には十字アームの間の中央に、生成される。
マスク作製制御での使用において、干渉ステージを用いるシステムによって、該2つのマスクのシミュレートされた露光造形が引き続いて行われる。この方法が図7に示されており、同図では、十字80の露光造形が、レベル1(またはレイヤn)のマスクによってシミュレートされる102。同時に、フィールド40中のRX小片状構造(図示せず)も、該シミュレーションにおいて露光造形される。次いで、レベル2(レイヤn+1)のマスクによって、対角的に向かい合うフィールド50中の十字84の対、および同時に、フィールド40中のPC小片状構造(図示せず)の露光造形がシミュレートされる112。次に、データ解析のため、レベル1マスクおよびレベル2マスクのパターン整列が測定され104、114、データ解析106では、一つのマスクのパターンを他方のマスクのパターンから差し引きする。レベル2マスクは、両十字84の中心の間をつなぐ線86が十字80の同じ中心Cを通過するよう設計されているので、通過が起きなければ、どのようなパターン整列誤差でも気付くことになる。図6に示された例ではX方向のオーバーレイのずれが観測される。というのは、十字の終端84a、84cの右方へのずれによって、フィールド50の外縁に接しておらず、中心をつなぐ結合線86が中心Cを通過していないからである。ずれの程度は、フィールド外縁からの十字の終端のずれの程度、または線86の交差点の中心点Cからの距離、あるいはこれらの両方によって算定することができる。同時に、CDおよびパターン整列は、別途の従来の方法による、2つのマスク上およびその間の小片状構造パターンの測定によって算定が可能である。次いで、マスクの合否判定が行われ108、116、生産に投与される前に、マスクの一つまたは両方の、パターン整列およびCD中のいかなる誤差も是正することができる。
ウエハ作製制御での使用において、十字80およびフィールド40中のRX小片状構造(図示せず)は、レベル1のマスクによって露光造形され110(図7)、ウエハのレベル1のフォトレジスト・レイヤ上にプリントされ次いでエッチングされる。次のレベルに対し、レベル2のマスクが、フィールド40中のPC小片状構造(図示せず)とともに、対角的に向かい合うフィールド50中の十字84の対を露光造形し、このイメージが、ウエハのレベル2に対するフォトレジスト・レイヤ中にプリントされる。前述したのと同じ技法が用いられ、レベル1とレベル2との光学的構造および小片状構造の間のオーバーレイが算定される。また、各リソグラフィ・プリンティングおよびエッチングの後、小片状構造上のCDを測定することもできる。ウエハ・オーバーレイ解析118(図7)では、先に得られたマスク位置合わせデータに関して、レベル1とレベル2との間で標的の配列の比較を行う。かくして、プリンティングおよびエッチングの後、次のレベルまたはレイヤの生成の前に、各々のウエハ・レベル上のオーバーレイおよびCDにおけるいかなる誤差も是正することができる。
図8に、後続のマスク、およびウエハまたはレイヤの光学的構造に対するさらなる標的構成が示されている。次のリソグラフィ・レベル3に対する標的には、元となる十字80に対応しているが、それぞれ、アーム82a、82b、82c、および82dに平行でこれらの両側に隣接するアームの対、83a、83b、83c、および83dを有する光学的構造を含めることができる。十字アーム83a〜dの中心は十字80および84の中心Cに合致する。CA小片状構造(図示せず)は、レベル3に対にする標的のためのフィールド40の中に配置することができる。レベル4に対する標的では、十字アーム85は、十字84のアームの位置と平行にこれらの両側に隣接して配置され、M1小片状構造(図示せず)はフィールド40の中に配置することができる。レベル3と4との標的に対する光学的および小片状構造を備えたマスクが生成される。レベル2および3用、次いでレベル3および4用のマスクに対するCDおよびパターン整列誤差の測定が既に図7に関連して説明したようにして行われる。マスクが是正され、または他のやり方で認容された後、ウエハ・レイヤは露光造形されプリントされエッチングされ、ウエハレベル2および3、次いでウエハ・レベル3および4に対し、CDおよびオーバーレイの誤差が、既に図7に関連して説明したようにして測定される。
前述のように、図8に示された比較的大きな積み重ね十字構造82a〜d、83a〜d、84、および85の代わりに、それぞれ図2および3の分離型花形またはマイクロ花形標的を、本発明の、統合標的中の光学的構造として用いることができる。これら分離型花形標的は、一般に標的上により多くの面積を必要とするが、組み合わせ標的に、より多くのプロセシング・レイヤを表示するために使用することが可能である。十字構造の積み重ねは一般により小さな標的面積ですむが、表示可能なプロセシング・レイヤ数はより少ない。
図9は、レベル1およびレベル2それぞれに対する小片状構造RXおよびPCのオーバーレイを示す。小片状構造RX42(図4に別々に示す)は、光学的十字アーム82a〜d(図8)と同じマスクおよびレイヤ上にあり該アームと対応し、小片状構造PC44(図4に別々に示す)は、光学的十字84(図8)と同じマスクおよびレイヤ上にあり該十字に対応している。図示のオーバーレイは、図8に示された標的の右下四半分のフィールド40に提示され、相応するが反対側のオーバーレイは、標的の左上四半分のフィールド40に提示されることになろう。同様に、各フィールド40の小片状構造CAは、光学的十字アーム83a〜d(図8)と同じマスクおよびレイヤ上に該アームに対応して設けられ、小片状構造M1は、光学的十字アーム85(図8)と同じマスクおよびレイヤに該アームに対応して設けられる。
図10は、本発明による、半導体製造プロセスの複数のマスキング・レイヤの間のマスク−ウエハ間の相関を算定するための好適な方法200を図示する。該方法は、まず、半導体製造プロセスで異なるレベルのため使われるマスクを作製する202。一例として2つのマスクNおよびMを使用するものとし、マスクN204は限界寸法CDおよびパターン整列PPを有し、マスクM206は、限界寸法CDおよびパターン整列PPを有する。次いで、光学的構造の干渉計測によって、パターン整列誤差が比較され208、差異が算定されて210マスクMとNとの間のマスク・オーバーレイ誤差MaskOLMNが得られる。また、小片状構造の限界寸法MaskCDおよびMaskCDの測定が行われる。次いで、2つのマスク・レベルは、露光・現像されプリントされ、機能チップ構造および本発明の統合標的のパターンが半導体ウエハ表面にリソグラフィで生成される。このリソグラフィ・プロセシングには、エッチング、金属および半導体の堆積、化学機械的平坦化(CMP:chemical mechanical planarization)および熱処理、並びにリソグラフィ半導体プロセシングで使われる他の従来式プロセスを含めることができる。該方法は、リソグラフィによるレイヤの生成の後、小片状構造からウエハのレイヤMの限界寸法WaferCDおよびウエハのレイヤNの限界寸法WaferCDを、光学的構造からレイヤMとNとの間のウエハ・オーバーレイ誤差WaferOLMNを、測定し算定する212。
WaferCDとMaskCDとの差を取って△CDを得、WaferCDとMaskCDとの差を取って△CDを得、WaferOLMNとMaskOLMNとの差を取って△OLMNを得ることによって、リソグラフィ誘起の誤差が算定される214。これらのリソグラフィ誘起の偏差は、次に堆積されるレイヤに対するリソグラフィ・プロセスを算定し是正する218ためのフィードバックとして使われる。次いで、例えば、MaskCDに対するWaferCDの偏差、MaskCDに対するWaferCDの偏差、MaskOLMNに対するWaferOLMNの偏差の相関をとるなど、ウエハとマスクとの間の限界寸法およびオーバーレイの誤差を比較することによって、マスク誘起の誤差が算定される216。次いで、これらウエハとマスク間の偏差は、次に堆積されるレイヤに対するマスク作製を算定し是正する220ためのフィードバックとして使われる。これらリソグラフィおよびウエハ−マスク間の偏差両方の算定は結果としてウエハの最適化222につながる。
光学的構造については、マスクによって生成される個別のレイヤ上の測定がリソグラフィ・プロセシングの任意の点で行うことができる。しかしながら、オーバーレイ測定に使われる小片状構造の場合、通常、これらの構造は、SEMまたはAFMのような高解像度の計測ツールを用いて測定しなければならないことになり、これはエッチング後のプロセシング・ステップにおいてのみ使用可能なので、リソグラフィ・プロセシングのどの点でも測定が行えるわけではない。これらの高解像度ツールは、光学ツールが可能なようには、堆積されたレベルを貫いて観測することができず、従い、エッチング後ではサブストレート・レベルの是正をするのに手遅れなので有用性はより低い。他方、そうであっても、これら高解像度のツールは、光学的測定を、実際に作製された小片状構造のSEMまたはAFMによる測定に相関させることによって、マスク作製の是正手段を提供することができる226。
さらに、リソグラフィ誘起の偏差の評価214においては、ウエハの限界寸法およびオーバーレイの偏差を元となる回路設計と比較することができる。OPC、補助構造など、マスク自体に用いられるさまざまな技法のため、マスク・パターンは、ウエハ上に露光造形・生成されたイメージとは似ていないことがあり得る。マスクが、実際にウエハ上にプリントされるものとは益々違ってきているので、これらのリソグラフィ誘起の偏差を使って、単にマスクを是正するのでなく、元の設計そのものを是正することができる224。
リソグラフィ・プロセシングにおけるレイヤとレベルの関係が図11に示されている。各レベル1、2、3、4は、垂直断面に示される、ウエハの部分の区別された物理的厚さを表す。各レベルを生成するためには、各々がレイヤ設計を露光造形するための別々のマスクを使う、一つ以上のプロセシングまたはパターニング・レイヤが必要であり得る。図11に示されるように、各レベルを生成するために、2つの別のマスクを使う2つのパターニング・レイヤが用いられている。レベル1(例、STIレベル)の生成において、第一マスク1はレイヤ1を露光造形して構造RX1を生成する。必要なリソグラフィ・プロセシングの後、次いでマスク2がレイヤ2を露光造形して構造RX2を生成する。この過程で、本発明の標的および方法を使って、レイヤ1の標的に対するレイヤ2の標的のオーバーレイ誤差を測定することができる。リソグラフィ・プロセシングによって所望のレベル1が生成された後、レベル2(例、ゲート・レベル)に対するプロセシングが、構造PC1を生成するための異なるマスク1による該レベルのレイヤ1の露光造形およびプロセシングによって開始される。光学顕微鏡を用いる場合、異なるレベルおよびレイヤを貫通する観測が可能なので、レベル2上のレイヤ1の標的を、レベル1上のレイヤ2の標的またはレベル1上のレイヤ1の標的、あるいはレベル1上のレイヤ1およびレイヤ2の双方の標的と比較することができる。異なるマスク2を使ってレベル2上のレイヤ2を露光造形・プロセシングするとき、この新しいレイヤの標的は、先行するレイヤおよびレベルのいずれの標的とも比較できるので、オーバーレイの比較のための選択肢が増える。これらのオーバーレイの比較全てを実施する必要はないが、本発明はかかる比較を容易にする。
図12は、切り目領域94によって分けられたチップ領域90を有するマルチ・チップ・マスクの露光造形フィールドを示しており、該切り目領域は、ウエハが完成したとき、チップが最終的に分離されるスクライブ・ラインに対応する。マスクのチップ領域は、ウエハ・サブストレート・レベル上に露光造形される特定のレイヤに対する機能回路およびデバイス(図示せず)の設計を包含する。切り目領域内はもとより、これら機能チップ構造内の未使用の領域にちりばめられて、本発明の統合標的60が配置されている。標的のサイズが小さく、望ましくは10μm平方より小さいので、本発明は、これら測定の全てに対し、非統合型の標的を使ってできるよりも高いサンプリング密度を可能にする。
しかして、本発明は、チップ・レベル内のオーバーレイ誤差の算定のための改良された標的および方法を提供し、該算定は、限界寸法およびオーバーレイのマスク−ウエハ間のバラツキの相関をとり、限界寸法およびオーバーレイのバラツキのマスク成分とウエハ成分との区別を可能にする。さらに、本発明は、ウエハ上の限界寸法およびオーバーレイのバラツキを最小化する目的を達成するため、マスクおよびウエハの位置合わせ、限界寸法を同一の物理的位置で測定するための、十分に小さいサイズの改良された標的を提供する。
本発明を、特定の好適な実施形態に関連させて具体的に説明してきたが、当業者には、前述の説明を踏まえた多くの代替案、修改案および変形案が自明であろうことは明らかである。従って、添付の請求項はかかる一切の代替案、修改案、および変形案を、本発明の範囲内に入るものとして包括することが意図されている。

Claims (25)

  1. 半導体製造プロセスの複数のマスキング・レベルの間でマスク−ウエハ間の相関をとる方法であって、
    2つ以上のパターニング・レイヤ上の、一セットの共通位置における、パターン整列、限界寸法、およびオーバーレイの測定に適した構造パターンを包含するコンパクトな標的を生成するステップと、
    機能回路構造パターンおよび前記機能回路構造パターンの間の位置にある前記コンパクトな標的を包含する少なくとも2つのマスクを生成するステップと、
    前記マスク上の前記標的を測定するステップと、
    前記マスクの間のオーバーレイのバラツキを算定するステップと、
    前記マスクの一つを使って、ウエハ上に第一リソグラフィ・プロセシング・レイヤを露光造形・生成するステップと、
    前記マスクの別のマスクを使って、前記ウエハ上に前記第一リソグラフィ・プロセシング・レイヤに重ねて第二リソグラフィ・プロセシング・レイヤを露光造形・生成するステップと、
    前記ウエハ上の前記レイヤの一つ以上における前記標的を測定するステップと、
    前記マスクの測定値とウエハの測定値との相関をとって、限界寸法およびオーバーレイのバラツキのマスク誘起成分とリソグラフィ誘起成分とを識別するステップと、
    を含む前記方法。
  2. 前記相関されたマスクおよびウエハの測定値を使って前記マスクおよびウエハの作製プロセスを制御し、ウエハの限界寸法およびオーバーレイのバラツキを最小化するステップをさらに含む、請求項1に記載の方法。
  3. 前記コンパクトな標的は、前記ウエハ上に露光造形・生成されたとき、光学顕微鏡で解像可能な第一セットの光学的標的構造と、前記ウエハ上に露光造形・生成されたとき、光学顕微鏡では解像できないが、SEMまたはAFM顕微鏡によって解像可能な第二セットの小片状標的構造とをさらに含む、請求項1に記載の方法。
  4. 前記第二セットの小片状標的構造は、前記機能回路構造中の非機能的構造を含み、前記小片状標的構造は、シャロー・トレンチ・アイソレーション構造、ゲート構造、コンタクト構造、および金属ライン構造から成る群から選択される、請求項3に記載の方法。
  5. 前記第二セットの小片状標的構造は、200nm以下のライン幅および間隔を有する、請求項3または請求項4に記載の方法。
  6. 前記第二セットの小片状標的構造は、前記光学的標的構造の近辺の低い密度から前記光学的標的構造から離れるほどより高い密度になる密度傾斜を有する、請求項3〜5に記載の方法。
  7. 前記第一セットの光学的標的構造は、前記マスクによって前記ウエハ上に投影されたとき、相互に隣接する、前記マスク上のライン群を含む、請求項3に記載の方法。
  8. 前記第一セットの光学的標的構造はオーバーレイを測定するために使われ、前記第二セットの小片状標的構造は限界寸法を測定するために使われる、請求項3に記載の方法。
  9. 前記第一セットの光学的標的構造は、一つのマスク上に、ピッチpの直交格子の原点に中心を有する第一標的パターンを含み、前記第一標的パターンのサブパターンは、
    (−M,N)p、(N,M)p、(M,−N)p、および(−N,−M)p
    の座標点を有し、NおよびMは整数であり、各第一標的サブパターンの前記第一標的パターンの中心からの距離rは、
    r=p√(N+M
    の式で表され、別のマスク上の第二標的パターンは、前記第一標的パターンと同じ位置に中心を有し、前記第二標的パターンのサブパターンは、
    (−M+m,N+n)p、(N+n,M+m)p、(M+m,−N+n)p および(−N+n,−M+m)p
    の座標点を有し、nおよびmは整数であり、
    |n|+|m|=2i
    であって、iは整数である、
    請求項3に記載の方法。
  10. 前記第一セットの光学的標的構造は、直交格子の原点に中心を有し180°の対称性を持つサブパターンを有する、一つのマスク上の第一標的パターンと、前記第一標的パターンと同じ位置に中心を有し180°の対称性を持つサブパターンを有する、別のマスク上の第二標的パターンとを含み、前記第二標的パターンの前記サブパターンは前記第一標的パターンの前記サブパターンとは異なる位置に配置される、請求項3に記載の方法。
  11. 前記標的のサブパターンが、前記マスクおよびウエハ上のパターン固有の限界寸法の測定、前記マスク上のパターン整列の測定、および前記ウエハ上の2つ以上のレイヤの間のオーバーレイの測定を可能にする、請求項1に記載の方法。
  12. 前記標的は、前記製造されるチップの未使用部域内に配置するのに十分な小ささである、請求項1に記載の方法。
  13. 前記標的は一縁辺が10μmより小さい、請求項1に記載の方法。
  14. 半導体製造プロセスの複数のマスキング・レベルの間のマスク−ウエハ間の相関をとるための構造パターン、を有するマスクであって、前記マスクは、
    ウエハ上に作製されるチップに対応する領域中の、前記マスク上の機能回路構造パターンと、
    前記機能回路構造パターンの間の位置にある、前記マスク上の標的と、
    を含み、
    前記標的は、前記ウエハ上の少なくとも一つのパターニング・レイヤ上の、一セットの共通位置における、パターン整列、限界寸法、およびオーバーレイの測定に適した構造パターンを包含し、前記標的の構造は、前記ウエハ上に露光造形・生成されたとき光学顕微鏡で解像可能な第一セットの光学的標的構造と、前記ウエハ上に露光造形・生成されたとき光学顕微鏡では解像できないが、SEMまたはAFM顕微鏡によって解像可能な第二セットの小片状標的構造とを包含する、
    前記マスク。
  15. 前記光学的標的構造は、前記標的の相向い合う両側に配置され、前記小片状標的構造は、前記光学的標的構造の間の、前記標的の相向かい合う両側に配置される、請求項14に記載のマスク。
  16. 前記第一セットの光学的標的構造は、前記マスク上のライン群を含む、請求項14に記載のマスク。
  17. 前記第一セットの光学的標的構造は、ピッチpの直交格子の原点に中心を有する第一標的パターンを含み、前記第一標的パターンのサブパターンは、
    (−M,N)p、(N,M)p、(M,−N)p、および(−N,−M)p
    の座標点を有し、NおよびMは整数であり、各第一標的サブパターンの前記第一標的パターンの中心からの距離rは、
    r=p√(N+M
    の式で表される、
    請求項14に記載のマスク。
  18. 前記第一セットの光学的標的構造は、直交格子の原点に中心を有し180°の対称性を持つサブパターンを有する標的パターンを含む、請求項14に記載のマスク。
  19. 前記第二セットの小片状標的構造は、前記光学的標的構造の近辺の低い密度から前記光学的標的構造から離れるほどより高い密度になる密度傾斜を有する、請求項14に記載のマスク。
  20. 前記第二セットの小片状標的構造は、前記機能回路構造中の非機能的構造を含み、前記小片状標的構造は、シャロー・トレンチ・アイソレーション構造、ゲート構造、コンタクト構造、および金属ライン構造から成る群から選択される、請求項14に記載のマスク。
  21. 前記第二セットの小片状標的構造は、200nm以下のライン幅および間隔を有する、請求項20に記載のマスク。
  22. 前記標的は、前記ウエハ上に作製されるチップに対応する領域内に配置される、請求項14に記載のマスク。
  23. 前記標的は一縁辺が10μmより小さい、請求項14に記載のマスク。
  24. 前記第一セットの光学的標的構造はオーバーレイを測定するために使われ、前記第二セットの小片状標的構造は限界寸法を測定するために使われる、請求項14に記載のマスク。
  25. 前記標的は、前記製造されるチップの未使用部域内に配置するのに十分な小ささである、請求項14に記載のマスク。
JP2011546650A 2009-01-27 2009-12-16 マスク−ウエハ間の相関をとる方法及びマスク−ウエハ間の相関をとるための構造パターンを有するマスク Expired - Fee Related JP5466715B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/360,132 2009-01-27
US12/360,132 US9097989B2 (en) 2009-01-27 2009-01-27 Target and method for mask-to-wafer CD, pattern placement and overlay measurement and control
PCT/EP2009/067348 WO2010086068A2 (en) 2009-01-27 2009-12-16 Determining critical dimension or overlay variation of integrated circuit fields

Publications (2)

Publication Number Publication Date
JP2012516043A true JP2012516043A (ja) 2012-07-12
JP5466715B2 JP5466715B2 (ja) 2014-04-09

Family

ID=42163819

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011546650A Expired - Fee Related JP5466715B2 (ja) 2009-01-27 2009-12-16 マスク−ウエハ間の相関をとる方法及びマスク−ウエハ間の相関をとるための構造パターンを有するマスク

Country Status (5)

Country Link
US (1) US9097989B2 (ja)
JP (1) JP5466715B2 (ja)
KR (1) KR101555084B1 (ja)
TW (1) TWI520172B (ja)
WO (1) WO2010086068A2 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7474401B2 (en) * 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
US10073354B2 (en) * 2014-10-29 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
US10304178B2 (en) * 2015-09-18 2019-05-28 Taiwan Semiconductor Manfacturing Company, Ltd. Method and system for diagnosing a semiconductor wafer
EP3171396A1 (en) * 2015-11-18 2017-05-24 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of determining an overlay error, manufacturing method and system for manufacturing of a multilayer semiconductor device, and semiconductor device manufactured thereby
US10691028B2 (en) 2016-02-02 2020-06-23 Kla-Tencor Corporation Overlay variance stabilization methods and systems
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
CN105629659B (zh) * 2016-03-16 2023-08-18 北京航空航天大学 适于多次套刻的多时序光刻图案的掩膜板组及制作方法
US10996573B2 (en) * 2017-01-31 2021-05-04 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
US11107680B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mask assembly and method for fabricating a chip package
CN111324004A (zh) * 2018-12-14 2020-06-23 夏泰鑫半导体(青岛)有限公司 掩模及套刻误差测量方法
US10733354B2 (en) * 2018-12-19 2020-08-04 Globalfoundries Inc. System and method employing three-dimensional (3D) emulation of in-kerf optical macros
FR3128779B1 (fr) * 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
CN115616867B (zh) * 2021-12-03 2024-01-12 和舰芯片制造(苏州)股份有限公司 一种光罩上对最小线宽制程监控的方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1069066A (ja) * 1996-08-29 1998-03-10 Nec Corp マスクおよびその検査方法ならびに露光方法
JP2001091214A (ja) * 1999-09-24 2001-04-06 Toshiba Corp パターン測定方法
JP2001209167A (ja) * 2000-01-26 2001-08-03 Mitsubishi Electric Corp フォトマスク、フォトマスクペア、半導体装置および半導体装置の製造方法
JP2005512314A (ja) * 2001-11-30 2005-04-28 インターナショナル・ビジネス・マシーンズ・コーポレーション レチクル測定値を使用したフォトリソグラフィ短寸法制御
JP2005175270A (ja) * 2003-12-12 2005-06-30 Nikon Corp 位置ずれ検出用マーク
JP2006286747A (ja) * 2005-03-31 2006-10-19 Sony Corp 位置合わせ方法、その装置、プロセス制御装置およびプログラム
US7408642B1 (en) * 2006-02-17 2008-08-05 Kla-Tencor Technologies Corporation Registration target design for managing both reticle grid error and wafer overlay
US7473502B1 (en) * 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166219A (en) 1978-05-19 1979-08-28 Bell Telephone Laboratories, Incorporated Detection of ground state hydrogen and deuterium
US4290384A (en) 1979-10-18 1981-09-22 The Perkin-Elmer Corporation Coating apparatus
US4437760A (en) 1981-12-07 1984-03-20 The Perkin-Elmer Corp. Reusable electrical overlay measurement circuit and process
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4568189A (en) 1983-09-26 1986-02-04 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for aligning a mask and wafer in the fabrication of integrated circuits
JPS61168227A (ja) 1985-01-21 1986-07-29 Mitsubishi Electric Corp 微細パタ−ン露光用基板及び微細パタ−ン露光装置
JPS61170032A (ja) 1985-01-23 1986-07-31 Sumitomo Electric Ind Ltd 集積回路のマスク位置合せ用アライメントマ−ク
US4898911A (en) 1986-11-20 1990-02-06 Kanegafuchi Kagaku Kogyo Kabushiki Resin composition
US4890239A (en) 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
JPH02260441A (ja) 1989-03-30 1990-10-23 Sumitomo Metal Ind Ltd 半導体素子
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
US5300786A (en) 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5545593A (en) 1993-09-30 1996-08-13 Texas Instruments Incorporated Method of aligning layers in an integrated circuit device
US5677091A (en) 1994-11-01 1997-10-14 International Business Machines Corporation Lithographic print bias/overlay target and applied metrology
KR100197191B1 (ko) 1994-11-14 1999-06-15 모리시다 요이치 레지스트 패턴 형성방법
US5790254A (en) 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
KR970067585A (ko) 1996-03-25 1997-10-13 오노 시게오 결상특성의 측정방법 및 투영노광방법
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
KR100367500B1 (ko) 1996-10-02 2003-08-14 주식회사 하이닉스반도체 노광 장비의 최적 포커스 확인 방법
KR19980030438A (ko) 1996-10-29 1998-07-25 김영환 반도체 버어니어 구조 및 그것을 이용한 오버레이 정확도 측정방법
JPH10213895A (ja) 1997-01-30 1998-08-11 Sony Corp レチクルの合わせ測定用マーク
US5949547A (en) 1997-02-20 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. System for in-line monitoring of photo processing in VLSI fabrication
US6061119A (en) 1997-04-14 2000-05-09 Nikon Corporation Method of measuring image-forming error of projection optical system, method of manufacturing exposure apparatus, and method of manufacturing semiconductor device
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5953128A (en) 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5914784A (en) 1997-09-30 1999-06-22 International Business Machines Corporation Measurement method for linewidth metrology
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6061606A (en) 1998-08-25 2000-05-09 International Business Machines Corporation Geometric phase analysis for mask alignment
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6460265B2 (en) 1998-11-12 2002-10-08 International Business Machines Corporation Double-sided wafer exposure method and device
US6003223A (en) 1998-11-19 1999-12-21 Headway Technologies, Inc. Common alignment target image field stitching method for step and repeat alignment in photoresist
US6042976A (en) 1999-02-05 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of calibrating WEE exposure tool
US6346979B1 (en) 1999-03-17 2002-02-12 International Business Machines Corporation Process and apparatus to adjust exposure dose in lithography systems
US6183919B1 (en) 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
US6335151B1 (en) 1999-06-18 2002-01-01 International Business Machines Corporation Micro-surface fabrication process
US6612159B1 (en) 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US6429667B1 (en) 2000-06-19 2002-08-06 International Business Machines Corporation Electrically testable process window monitor for lithographic processing
JP5180419B2 (ja) 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US6766211B1 (en) 2000-10-03 2004-07-20 International Business Machines Corporation Structure and method for amplifying target overlay errors using the synthesized beat signal between interleaved arrays of differing periodicity
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6803995B2 (en) 2001-01-17 2004-10-12 International Business Machines Corporation Focus control system
JP4046961B2 (ja) 2001-09-03 2008-02-13 キヤノン株式会社 位置検出方法、位置検出装置、露光装置及び露光方法
US6975398B2 (en) 2001-10-15 2005-12-13 International Business Machines Corporation Method for determining semiconductor overlay on groundrule devices
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
US6842237B2 (en) 2001-12-28 2005-01-11 International Business Machines Corporation Phase shifted test pattern for monitoring focus and aberrations in optical projection systems
US6766507B2 (en) 2002-04-12 2004-07-20 International Business Machines Corporation Mask/wafer control structure and algorithm for placement
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US7829168B2 (en) * 2007-11-21 2010-11-09 Promos Technologies Pte. Ltd. Methods for inspecting and optionally reworking summed photolithography patterns resulting from plurally-overlaid patterning steps during mass production of semiconductor devices

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1069066A (ja) * 1996-08-29 1998-03-10 Nec Corp マスクおよびその検査方法ならびに露光方法
JP2001091214A (ja) * 1999-09-24 2001-04-06 Toshiba Corp パターン測定方法
JP2001209167A (ja) * 2000-01-26 2001-08-03 Mitsubishi Electric Corp フォトマスク、フォトマスクペア、半導体装置および半導体装置の製造方法
JP2005512314A (ja) * 2001-11-30 2005-04-28 インターナショナル・ビジネス・マシーンズ・コーポレーション レチクル測定値を使用したフォトリソグラフィ短寸法制御
JP2005175270A (ja) * 2003-12-12 2005-06-30 Nikon Corp 位置ずれ検出用マーク
JP2006286747A (ja) * 2005-03-31 2006-10-19 Sony Corp 位置合わせ方法、その装置、プロセス制御装置およびプログラム
US7408642B1 (en) * 2006-02-17 2008-08-05 Kla-Tencor Technologies Corporation Registration target design for managing both reticle grid error and wafer overlay
US7473502B1 (en) * 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method

Also Published As

Publication number Publication date
JP5466715B2 (ja) 2014-04-09
KR101555084B1 (ko) 2015-09-22
US9097989B2 (en) 2015-08-04
TW201034052A (en) 2010-09-16
KR20110120909A (ko) 2011-11-04
TWI520172B (zh) 2016-02-01
US20100190096A1 (en) 2010-07-29
WO2010086068A2 (en) 2010-08-05
WO2010086068A3 (en) 2010-09-23

Similar Documents

Publication Publication Date Title
JP5466715B2 (ja) マスク−ウエハ間の相関をとる方法及びマスク−ウエハ間の相関をとるための構造パターンを有するマスク
TWI477929B (zh) 用於藉由先進製程控制之控制策略來減少曝光場內之疊置誤差的方法及系統
US8107079B2 (en) Multi layer alignment and overlay target and measurement method
US7244533B2 (en) Method of the adjustable matching map system in lithography
US7473502B1 (en) Imaging tool calibration artifact and method
JP2009510770A (ja) オーバーレイ精度とパターン配置誤差とを同時に測定する方法
JP5136745B2 (ja) 多重露光技術におけるマスク製造誤差検証方法
Ausschnitt et al. Multilayer overlay metrology
KR20190130020A (ko) 디바이스 제조 프로세스
TW200404346A (en) A method for monitoring overlay alignment on a wafer
TWI764562B (zh) 多步驟製程檢測方法
TWI820371B (zh) 用於微影裝置製造程序之檢測工具及度量衡方法
JP2002134397A (ja) フォトマスク、半導体装置、半導体チップパターンの露光方法、チップアライメント精度検査装置
JP2005286064A (ja) 荷電粒子ビーム露光装置、荷電粒子ビーム露光装置の基準用基板、荷電粒子ビーム露光装置の補正方法、及び電子装置の製造方法
KR20070098029A (ko) 반도체 집적 회로 장치
KR20080096297A (ko) 반도체 소자의 오버레이 마크
JP2010038944A (ja) フォトマスクの製造方法及びこのフォトマスクを用いた半導体デバイスの製造方法
JP2003347203A (ja) マスク、パターンの転写方法、アライメント精度測定方法、および装置
TW202321814A (zh) 基於繞射之疊對誤差計量的改良目標
JP2006147871A (ja) 重ね合わせ露光方法
JP2014229644A (ja) 露光方法、露光装置、それらを用いたデバイスの製造方法
JP2005203611A (ja) アライメントマークの検出方法
JP2000195783A (ja) 回路パタ―ン転写方法、イメ―ジフィ―ルド決定方法及び縮小投影露光装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130402

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130529

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20130529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140124

R150 Certificate of patent or registration of utility model

Ref document number: 5466715

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees