JP2011510517A - 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法 - Google Patents

半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法 Download PDF

Info

Publication number
JP2011510517A
JP2011510517A JP2010544381A JP2010544381A JP2011510517A JP 2011510517 A JP2011510517 A JP 2011510517A JP 2010544381 A JP2010544381 A JP 2010544381A JP 2010544381 A JP2010544381 A JP 2010544381A JP 2011510517 A JP2011510517 A JP 2011510517A
Authority
JP
Japan
Prior art keywords
metal
gas
precursor
substrate
metal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010544381A
Other languages
English (en)
Other versions
JP2011510517A5 (ja
JP5550566B2 (ja
Inventor
健二 鈴木
美保 定面
ルラン,ジョナサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2011510517A publication Critical patent/JP2011510517A/ja
Publication of JP2011510517A5 publication Critical patent/JP2011510517A5/ja
Application granted granted Critical
Publication of JP5550566B2 publication Critical patent/JP5550566B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導体デバイスの製造に選択的低温Ru堆積を統合することで、バルクCu金属中でのエレクトロマイグレーション及びストレスマイグレーションを改善する方法。当該方法は、誘電体層(304)中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属(322)によって少なくとも実質的に充填されている工程、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で前記バルクCu金属及び前記誘電体膜を熱処理する工程、並びに、前記の熱処理されたバルクCu金属上にRu金属膜を選択的に堆積する工程、を有する。

Description

本発明は半導体プロセス及び半導体デバイスに関する。より詳細には本発明は、半導体デバイスを製造するためのルテニウム金属膜の選択的堆積方法に関する。
集積回路は、様々な半導体デバイス及び複数の伝導性金属パスを含む。前記伝導性金属パスは、半導体デバイスに電力を供給して、これらの半導体デバイスが情報の共有及び交換を行うことを可能にする。集積回路内部では、金属層が互いの上部で積層されている。その積層は、金属層を互いに絶縁する金属間又は層間誘電体層を用いて行われる。通常、各金属層は少なくとも1層の別な金属層との電気的コンタクトを形成しなければならない。そのような電気コンタクトは、金属層を隔離する層間誘電体をエッチングしてその内部に穴(つまりビア)を形成し、かつ前記エッチングより形成されたビアを金属で充填することで相互接続構造を形成することにより実現される。金属層は典型的には層間誘電体中のエッチングによって得られた経路を占める。「ビア」は通常微少部位のことを指称し、それはたとえば穴、ライン、又は、誘電体層内部に形成された他の同様な部位であって、前記誘電体層を介して該誘電体層の下に位置する伝導層への電気的接続を供するものである。同様に2つ以上のビアを接続する、金属層に含まれる微少部位は通常溝(trench)と指称される。
集積回路を作製する多層メタライゼーション法において銅(Cu)を用いることは、解決法を必要とする複数の問題を生じさせてきた。たとえば誘電体材料及びSi中でのCu原子の移動度が高い結果、それらの材料中でのCu原子のマイグレーションを引き起こし、集積回路を破壊する恐れのある電気的欠陥を生成してしまうことが考えられる。従って、Cu金属層、Cu充填溝、及びCu充填ビアは通常、バリア層によって封止されることで、Cu原子の誘電体材料への拡散が防止される。バリア層は通常、Cu堆積前に、溝、並びにビアの側壁及び底部に堆積される。バリア層は通常、Cuと反応も混和もせず、かつ低い電気抵抗を供することができる。
集積回路の相互接続における電流密度は、各連続するテクノロジーノードで顕著に増大している。エレクトロマイグレーション(EM)及びストレスマイグレーション(SM)の寿命が電流密度に反比例するため、EM及びSMは早晩重要課題となる。Cuデュアルダマシン相互接続におけるEM寿命は、Cu金属と周辺材料(たとえばキャップ層)との界面での原子Cu輸送に強く依存する。これはこれらの界面での接合に直接関係する。良好な接合及び良好なEM寿命を供する新たなキャップ材料が広範に研究されてきた。たとえばコバルト-タングステン-リン(CoWP)層は、無電解メッキ法を用いることによってバルクCu金属上で選択的に堆積されてきた。CoWPとバルクCu金属との間の界面は、より長いEM寿命を供するという優れた接合強度を有する。しかし、特に密なピッチのCu配線について、バルクCu金属上で容認できる堆積選択性を維持すること及び良好な膜の均一性を維持することは、この複雑なプロセスの容認度合いに影響を与えてきた。さらに酸性溶液を用いたウエットエッチングプロセスはCoWPの使用にとって有害となりうる。
米国特許第5024716号明細書 米国特許出願第10/996145号明細書 米国特許出願第10/998420号明細書
従って、Cuへの良好な接合を供し、かつバルクCu金属のEM及びSM特性を改善する、金属層の新たな堆積方法が必要とされている。特に、これらの方法は、金属の堆積について、誘電体表面上よりも金属表面上で良好な選択性を供しなければならない。
本発明の実施例は、半導体デバイスの製造に低温選択Ru堆積を統合することで、Cuメタライゼーションにおけるエレクトロマイグレーション及びストレスマイグレーションを改善する方法を供する。本発明の一実施例によると、パターニング基板は、1つ以上の溝及び1つ以上のビアを含む1つ以上のデュアルダマシン相互接続構造を有する。
本発明の一実施例によると、当該方法は、誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で前記バルクCu金属及び前記誘電体膜を熱処理する工程、並びに、前記の熱処理された平坦化バルクCu金属上にRu金属膜を選択的に堆積する工程、を有する。
本発明の一実施例によると、当該方法は、誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程、H2が存在する中で200℃未満の基板温度にて前記バルクCu金属及び前記誘電体膜を熱処理する工程、並びに、熱化学気相成長法においてRu3(CO)12前駆体気体及びCO気体を含む処理気体に前記パターニング基板を暴露することによって、前記の熱処理された平坦化バルクCu金属上にRu金属膜を選択的に堆積する工程、を有する。
本発明の一実施例によると、当該方法は、誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で200℃未満の基板温度にて前記バルクCu金属及び前記誘電体膜を熱処理する工程、並びに、熱化学気相成長法においてRu3(CO)12前駆体気体及びCO気体を含む処理気体に前記パターニング基板を暴露することによって、前記の熱処理された平坦化バルクCu金属上にRu金属膜を選択的に堆積する工程、を有する。
本発明の実施例による、ウエハ温度及び処理圧力に対する、Cu金属と低誘電率(low-k)材料を有するウエハ上に堆積されたRu金属膜の厚さを図示している。 本発明の実施例による、ウエハ温度及び前処理条件に対する、low-k材料上に堆積されたRu金属膜の厚さを図示している。 A-Cは、本発明の実施例による、デュアルダマシン相互接続構造における選択的に堆積されたRu金属膜を統合した様子の概略的断面図を示している。 本発明の実施例による、Ru金属膜を堆積する熱化学気相成長(TCVD)システムの概略図を示している。 本発明の他の実施例による、Ru金属膜を堆積する他の熱化学気相成長(TCVD)システムの概略図を示している。
本発明のより完全な評価及び本発明に付随する利点の多くは、以降の詳細な説明を、特に添付の図面を考慮しながら参照することですぐに明らかとなる。
本発明の実施例は、半導体デバイスの製造に選択低温Ru金属堆積を統合することで、Cuメタライゼーションにおけるエレクトロマイグレーション(EM)及びストレスマイグレーション(SM)を改善する方法を供する。Ru金属膜は、相互接続構造におけるメタライゼーション層及びバルクCu金属と接する接合層として利用されることで、CuメタライゼーションにおけるEM及びSM特性を改善することができる。当業者は、様々な実施例が、1つ以上の具体的詳細の有無、又は、他の置換物及び/若しくは追加的方法、材料、若しくは部材の有無に関係なく実施可能であることを認識する。また、本発明の様々な実施例の態様が曖昧になるのを避けるため、周知の構造、材料、又は動作については図示も記載もしていない。同様に、本発明の完全な理解を供するための具体的数値、材料、及び構成は説明目的のためである。さらに図中に示された様々な実施例は例示であって必ずしも正しい縮尺で描かれていない。
図1Aは、本発明の実施例による、ウエハ温度及び処理圧力に対する、Cu金属と低誘電率(low-k)材料を有するウエハ上に堆積されたRu金属膜の厚さを図示している。Cu金属又はlow-k材料(k=2.4)のいずれかを含むウエハが、Ru金属の堆積前に、H2気体中にて3Torrの処理圧力で1分間熱処理することによって前処理された。前処理に続いて、基板は、基板温度が約115℃〜約210℃で、処理圧力が500mTorr、100mTorr、及び15mTorrで、約10秒間、Ru3(CO)12前駆体気体及びCO気体を含む処理気体に暴露された。第1例では、線10A(データは黒い四角形で表されている)及び線10B(データは白い四角形で表されている)はそれぞれ、処理チャンバ内の処理圧力が15mTorrのときについての、Cu金属及びlow-k材料上のRu金属の厚さを示している。第2例では、線11A(データは黒い円で表されている)及び線11B(データは白い円で表されている)はそれぞれ、処理チャンバ内の処理圧力が100mTorrのときについての、Cu金属及びlow-k材料上のRu金属の厚さを示している。第3例では、線12A(データは黒い三角形で表されている)及び線12B(データは白い三角形で表されている)はそれぞれ、処理チャンバ内の処理圧力が500mTorrのときについての、Cu金属及びlow-k材料上のRu金属の厚さを示している。図1Aは、Cu金属への直接的なRu金属の最初の堆積は、low-k材料への直接的な堆積とは対照的に、約150℃よりも高い基板温度で高い選択性を示し、かつその選択性は処理圧力の減少に伴って増大することも示している。このような高い選択性は、Cu及び他の金属上でのRu金属の超薄膜(数Åの厚さ)の堆積に用いられて良い。
図1Bは、本発明の実施例による、ウエハ温度及び前処理条件に対する、low-k材料(k=2.4)上に堆積されたRu金属膜の厚さを図示している。基板が、Ru金属の堆積前に、H2気体中にて3Torrの処理圧力で1分間熱処理することによって前処理された。前処理には、プラズマに暴露されたときとプラズマに暴露されないときの両方がある。前処理は、特許文献1に記載されたスロットプレーンアンテナ(SPA)を含むプラズマ処理チャンバ内で実行された。
前処理に続いて、Cu金属膜が、Ru3(CO)12前駆体気体及びCO気体を含む処理気体及び100mTorrの処理圧力を用いることによって堆積された。線20A(データは白い菱形で表されている)はプラズマ励起されたH2気体で前処理された基板上でのRu膜厚を図示している。線20B(図1Aの線10Aと同一。データは白丸で表されている)は、プラズマ励起されないH2気体で前処理された基板上でのRu膜厚を図示している。図1Bは、プラズマ励起されたH2気体でのlow-k材料の前処理が、プラズマ励起されないH2気体でのlow-k材料の前処理と比較して、最初のRu金属膜の堆積速度を顕著に増大させることを示している。本願発明者らは、プラズマ励起されたH2気体による前処理が、low-k材料の暴露表面を活性化することで、該活性化されたlow-k材料上でのRu金属堆積の定温放置期間が減少し、かつ各異なる材料上でのRu金属堆積の選択性が減少する。
ウエハ(基板)上でのRu3(CO)12前駆体蒸気が吸着する結果、Ru3(CO)12前駆体の一部がRu3(CO)x及びCOに分解して表面上に吸着し、続いて吸着したRu3(CO)xがさらに分解することで、Ru金属及びCOとなって基板から脱離する。
Ru3(CO)12(g)⇔Ru3(CO)x(ad)+(12-x)CO(ad)⇔3Ru(s)+(12-x)CO(g) (1)
理論に拘泥するわけではないが、本願発明者らは、たとえばCu又はタングステン(W)のような金属表面上で観測されるRu金属の選択堆積には、吸着したCO濃度が金属表面上でよりも誘電体表面上で高いことが少なくとも部分的に起因しているので、吸着したCOとRu3(CO)xとが再結合することで、式(1)のRu3(CO)12を誘電体表面上で再生成しようとする。金属表面上で吸着したCOの濃度が低くなると、金属表面上での吸着したRu3(CO)12のRu金属とCOへの分解速度が、誘電体表面上での分解速度よりも速くなる。
本発明の実施例は、パターニング基板中での前処理された金属表面(たとえばCu金属)上でのRu金属膜の高選択性低温堆積システム及び方法を供する。パターニング基板は高アスペクト比の凹部を有して良い。本発明の一実施例によると、デュアルダマシン相互接続構造は、パターニング基板中に形成された溝及びビアを有する。ここで溝及びビアは側壁と底部を有する。ビアは、約2:1よりも高いアスペクト比−たとえば3:1、4:1、5:1、6:1、12:1、15:1、又はそれ以上−を有して良い。ビアは約200nm以下−たとえば150nm、100nm、65nm、45nm、32nm、20nm、又はそれ以下−の幅を有して良い。しかし本発明の実施例は、これらのアスペクト比又はビアの幅に限定されない。なぜなら他のアスペクト比又はビアの幅も利用可能だからである。
図2Aは、本発明の実施例による、デュアルダマシン相互接続構造における選択的に堆積されたRu金属膜を統合した様子の概略的断面図を示している。デュアルダマシン相互接続構造は平坦化されたバルクのCu金属322によって充填される。平坦化されたバルクのCu金属322は、デュアルダマシン相互接続構造にバルクCu金属を充填し、かつたとえば化学機械研磨(CMP)法のような平坦化プロセスを用いることによって過剰なCu金属を除去することによって形成されて良い。平坦化プロセスはさらに、図2Aにいて概略的に図示されているように、地の表面314からバリア層318を除去する。バルクCu金属堆積プロセスは、当業者には周知であり、かつたとえば電化メッキプロセス又は無電かメッキプロセスを有して良い。さらにCMPプロセスは当業者には周知である。
図2Aでは、バリア層318はたとえば、Ta含有層(たとえばTa、TaC、TaN、若しくはTaCN、又はこれらの混合物)、Ti含有層(Ti、TiN、又はこれらの混合物)、又はW含有層(たとえばW、WN、又はこれらの混合物)を有して良い。一例では、バリア層318は、第三級アミルイミド-トリス-ジメチルアミドタンタル(Ta(NC(CH3)2C2H5)(N(CH3)2)3)とH2との交互暴露を利用するプラズマ原子層堆積(PEALD)システム内で堆積されたTaCNを有して良い。
図2Aでは、デュアルダマシン相互接続構造はさらに、誘電体層300内に第1メタライゼーション層302(たとえばCu又はW)を有する。誘電体層300はたとえば、フッ化シリコンガラス(FSG)、炭素ドープされた酸化物、ポリマー、SiCOH含有low-k材料、無孔性low-k材料、有孔性low-k材料、CVDによって得られたlow-k材料、スピンオン誘電体(SOD)法によって得られたlow-k材料のような誘電材料、又は他の適切な誘電材料を有して良い。デュアルダマシン相互接続構造は誘電体層304中でエッチングによって形成された溝及びビアを有する。誘電体層304は、デュアルダマシン相互接続構造の周辺に「地の(field)」表面314の領域を有する。図示はされていないが、相互接続構造は追加の層を有しても良い。追加の層とはたとえば、誘電体層300と誘電体層304との間の溝のエッチストップ層、ビアのエッチストップ層、及び第1メタライゼーション層302を誘電体層304と分離するバリア層である。デュアルダマシン相互接続構造は、当業者にとって周知である標準的なリソグラフィ法及びエッチング法を用いることによって形成されて良い。本発明の実施例は、デュアルダマシン相互接続構造よりも単純な構造又は複雑な構造、及びメタライゼーション層を含む他の種類の凹部にも適用されて良い。
図2Aはさらに、第1メタライゼーション層上で選択的に堆積された任意の金属膜312(たとえばRu金属膜)を図示している。本発明の一実施例によると、Ru膜(図示されていない)は、バルクCu金属の充填前に、バリア層318上にコンフォーマルに堆積されて良い。
本願発明者らは、平坦化プロセスに用いられるプロセス環境が腐食性であることに起因して、平坦化されたパターニング基板のさらなる処理(たとえば金属の選択堆積)に係る問題を引き起こす恐れがある。本発明の実施例によると、これらの問題は、水素(H2)、窒素(N2)、若しくはアンモニア(NH3)、又はこれらの混合気体が存在する中で平坦化されたパターニング基板を熱処理することによって抑制又は除去することができる。
本発明の一実施例によると、図2Aのデュアルダマシン相互接続構造の形成に続いて、誘電体層304の平坦化されたバルクCu金属322及び地の表面314は、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で熱処理される。熱処理は、200℃未満の基板温度で実行されて良く、かつたとえばアルゴン(Ar)のような希ガスをさらに有して良い。あるいはその代わりに熱処理は約200℃〜400℃の基板温度で実行されても良い。一例ではH2、純粋なN2、又は純粋なNH3が用いられて良い。他の例では、H2、N2、若しくはNH3、又はこれらの混合気体は、たとえばアルゴン(Ar)のような希ガスをさらに有して良い。一例では、H2とArとの混合比が10:1のH2/Ar混合気体が用いられて良い。
本発明の他の実施例によると、熱処理はH2が存在する中で200℃未満の基板温度で実行されても良い。一例では、純粋なH2が用いられて良い。他の例では、H2とArとの混合気体が用いられて良い。
本発明のさらに他の実施例によると、熱処理は、N2、若しくはNH3、又はこれらの混合気体が存在する中で、200℃未満の基板温度で実行されても良い。一例では、純粋なN2又は純粋なNH3が用いられて良い。他の例では、N2とArとの混合気体、NH3とArとの混合気体、又はN2、NH3とArとの混合気体が用いられて良い。
熱処理に続いて、図2Bに図示されているように、Ru金属膜324は熱処理によって平坦化されたバルクCu金属322上で選択的に堆積される。Ru金属膜324は100℃〜300℃の基板温度で堆積されて良い。本発明の一実施例によると、熱処理及びRu金属膜の堆積はいずれも、200℃未満の基板温度で実行されて良い。Ru金属膜は、Ru3(CO)12前駆体気体及びCO気体を含む処理気体を用いたTCVD法で堆積されて良い。一実施例では、Ru金属膜324の平均厚さは、2〜20Å又は5〜15Å、たとえば約10Åであって良い。一実施例では、Ru金属膜324の平均厚さは約10Å未満であって良い。一実施例では、平坦化されたバルクCu金属322上のRu金属膜324の表面被覆は、平坦化されたバルクCu金属322を暴露するギャップが存在して不完全である。本発明の一実施例によると、熱処理とRu金属膜の堆積は同一処理チャンバ内で実行されて良い。あるいはその代わりに、熱処理とRu金属膜の堆積はそれぞれ別の処理チャンバ内で実行されても良い。
平坦化されたバルクCu金属322上でのRu金属膜324の選択堆積に続いて、図2Bに図示された途中まで作製された半導体デバイスがさらに処理される。図2Cは、Ru金属膜324上及び誘電体層304上に堆積されたコンフォーマルなキャップ層326を図示している。キャップ層326はたとえばシリコン窒化物又はシリコン炭窒化物を有して良い。他の例では、キャップ層326は金属窒化物−たとえばCuSiN、WN、TiN、又はCoN−を有して良い。本発明の一実施例によると、キャップ層326の堆積前に、Ru金属膜及び誘電体層は、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で150℃〜400℃の基板温度で熱処理されて良い。
図3は、本発明の実施例による、Ru3(CO)12前駆体蒸気及びCO気体からRu金属膜を堆積する熱化学気相成長(TCVD)システムの概略的図を示している。堆積システム1は、金属層が上に堆積される基板25を支持するために備えられた基板ホルダ20を有する処理チャンバ10を有する。処理チャンバ10は、気相前駆体供給システム40を介して金属前駆体気化システム50と結合する。
処理チャンバ10はさらに、ダクト36を介して真空排気システム38と結合する。排気システム38は、処理チャンバ10、気相前駆体供給システム40及び金属前駆体気化システム50を、基板25上での金属層の堆積、及び金属前駆体気化システム50中のRu3(CO)12前駆体52の気化(又は昇華)に適する圧力にまで排気するように備えられている。
さらに図1を参照すると、金属前駆体気化システム50は、Ru3(CO)12前駆体52を貯蔵し、Ru3(CO)12前駆体52を、そのRu3(CO)12前駆体52が気化するのに十分な温度になるまで加熱し、かつRu3(CO)12前駆体蒸気を気相前駆体供給システム40へ導入するように備えられている。Ru3(CO)12前駆体52は、選択された加熱条件下では、金属前駆体気化システム50内において固体である。Ru3(CO)12前駆体52を昇華させるのに望ましい温度を実現するため、金属前駆体気化システム50は、気化温度を制御するように備えられている気化温度制御システム54と結合する。
たとえばRu3(CO)12前駆体52の温度は約40℃から45℃へ上昇されて良い。あるいはその代わりにRu3(CO)12前駆体52の温度は約60℃から90℃へ上昇されて良い。Ru3(CO)12前駆体52が昇華を起こすまで加熱されるので、CO含有気体はRu3(CO)12前駆体52を通り抜けて、生成されるRu3(CO)12前駆体蒸気を捕獲する。CO含有気体はCO及び任意で不活性キャリア気体を有する。キャリア気体はたとえば、N2又は希ガス(He、Ne、Ar、Kr若しくはXe)、又はそれら2種類以上の混合気体を有して良い。CO気体が存在する中でRu3(CO)12前駆体を気化することで、パターニング基板へのRu3(CO)12前駆体蒸気の供給が制限されるという問題を緩和することができる。生成されるRu3(CO)12前駆体蒸気にCOガスを加えることによって、蒸発温度を上昇させることが可能であることが示された。温度が上昇することでRu3(CO)12前駆体の蒸気圧が上昇する結果、処理チャンバへのRu3(CO)12前駆体の供給が増大するので、パターニング基板25上でのRu金属膜の堆積速度が増大する。処理チャンバ10へRu3(CO)12前駆体を供給する前に、気相前駆体供給システム40内でのRu3(CO)12前駆体の不十分な分解を減少させるためのCO気体を使用することで、処理チャンバへRu3(CO)12前駆体が効率的に輸送されることでRu金属膜が堆積される。このことは特許文献2に記載されている。
一例では、金属前駆体気化システム50は、特許文献3に記載されたRu3(CO)12前駆体蒸気の効率的な気化及び輸送を行うために備えられた多重トレイ気化システムであって良い。
たとえば、ガス供給システム60は、金属前駆体気化システム50と結合し、たとえばキャリアガス、及び/又はCO気体を、供給線61を介してRu3(CO)12前駆体52の近くへ、又は供給線62を介してRu3(CO)12前駆体52全体にわたって供給するように備えられている。それに加えて、ガス供給システム60は、金属前駆体気化システム50から下流の気相前駆体供給システム40と結合することで、供給される気体が気相前駆体供給システム40へ入り込む際又はその後、供給線63を介してその気体をRu3(CO)12前駆体52へ供給する。さらに供給線63は、CO気体を含む前処理気体によってパターニング基板を前処理することで、Ru3(CO)12前駆体蒸気及びCO気体にパターニング基板を暴露する前に、パターニング基板の暴露表面を吸着したCOで飽和させるのに利用されて良い。
たとえ図示されていなくても、ガス供給システム60は、キャリアガス供給源、COガス源、1つ以上の制御バルブ、1つ以上のフィルタ、及びマスフローコントローラを有して良い。たとえば、不活性キャリアガスの流速は、約0.1sccmから約1000sccmの間であって良い。あるいはその代わりに、不活性キャリアガスの流速は、約10sccmから約500sccmの間であって良い。さらにその代わりに、不活性キャリアガスの流速は、約50sccmから約200sccmの間であって良い。本発明の実施例によると、COガスの流速は、約0.1sccmから約1000sccmの範囲であって良い。あるいはその代わりに、COガスの流速は、約1sccmから約500sccmの範囲であって良い。
金属前駆体気化システム50から下流では、Ru3(CO)12前駆体蒸気とCO気体を含む処理気体が、処理チャンバ10と結合した蒸気分配システム30に入るまで、又は処理チャンバ10内部に設けられている蒸気分配システム30に入るまで、Ru3(CO)12前駆体蒸気とCO気体を含む処理気体は、蒸気供給システム40を介して流れる。蒸気ライン温度を制御するため、並びに膜の前駆体蒸気の凝集及び膜の前駆体蒸気の分解を防ぐため、蒸気供給システム40は、蒸気ライン温度制御システム42と結合して良い。たとえば、蒸気ライン温度は、50℃〜100℃の温度に設定されて良い。
再度図3を参照すると、処理チャンバ10と結合して、その一部を形成する蒸気分配システム30は、プレナム32を有する。プレナム32内部では、蒸気分配板34を通過し、基板25上の領域33に入り込む前に蒸気が拡がる。それに加えて、蒸気分配板34は、その温度を制御するように備えられた分配板温度制御システム35と結合して良い。
一旦Ru3(CO)12前駆体蒸気を含む処理気体が処理チャンバ10の処理領域33に入り込むと、基板25の温度上昇による基板表面での脱離中に膜の前駆体は熱的に分解し、基板25上に薄膜が形成される。基板ホルダ20は、基板温度制御システム22と結合することによって、基板25の温度を上昇させるように備えられている。たとえば、基板温度制御システム22は、最大約500℃まで基板25の温度を上昇させるように備えられている。一の実施例では、基板温度は最大約500℃であって良い。別な実施例では、基板温度は、約150℃から約350℃の範囲であって良い。それに加えて処理チャンバは、チャンバ壁の温度を制御するように備えられているチャンバ温度制御システム12と結合して良い。
さらに図3を参照すると、堆積システム1は、該堆積システム1の動作を実行及び制御するように備えられている制御システム80をさらに有して良い。制御システム80は、処理チャンバ10、基板ホルダ20、基板温度制御システム22、チャンバ温度制御システム12、蒸気分配システム30、蒸気供給システム40、蒸気前駆体気化システム50、キャリアガス供給システム60と接続する。
図4は、本発明の他の実施例による、Ru3(CO)12前駆体蒸気及びCO気体からRu金属膜を堆積する熱化学気相成長(TCVD)システムの概略的図を示している。堆積システム100は、パターニング基板125を支持するために備えられた基板ホルダ120を有する処理チャンバ110を有する。Ru金属層はパターニング基板125上に堆積される。処理チャンバ110は、前駆体供給システム105、及び気相前駆体供給システム140と結合する。前駆体供給システム105は、Ru3(CO)12前駆体(図示されていない)を貯蔵及び気化させるように備えられている。蒸気前駆体供給システム140は、Ru3(CO)12前駆体152を移送するように備えられている。
処理チャンバ110は、上側チャンバ部分111、下側チャンバ部分112、及び排気チャンバ113を有する。開口部114は下側チャンバ部分112内部に形成される。下側部分112は排気チャンバ113と結合する。
さらに図4を参照すると、基板ホルダ120が、被処理基板(つまりウエハ)125を支持する水平面を供する。基板ホルダ120は、排気チャンバ113の下側部分から上方へ延在する円柱状支持部分122によって支持されて良い。基板ホルダ120上でパターニング基板125の位置設定を行う、任意で設けられる案内リング124が基板ホルダ120の端部に供される。さらに基板ホルダ120は、基板ホルダ温度制御システム128と結合するヒーター126を有する。ヒーター126はたとえば、1つ以上の抵抗加熱素子を有して良い。あるいはその代わりに、ヒーター126はたとえば、タングステン-ハロゲンランプのような放熱システムを有する。基板ホルダ温度制御システム128は、1つ以上の加熱素子に電力を供する電源、基板温度及び/又は基板ホルダ温度を測定する1つ以上の温度センサ、並びに、基板又は基板ホルダの温度を監視、調節又は制御する制御装置を有して良い。
プロセス中、加熱されたパターニング基板125は、Ru3(CO)12前駆体を熱的に分解し、Ru金属膜をパターニング基板125上へ堆積することを可能にする。基板ホルダ120は、所望であるRu金属層をパターニング基板125上に堆積するのに適した所定温度まで加熱される。それに加えて、チャンバ温度制御システム121に結合するヒーター(図示されていない)が、処理チャンバ110の壁中に埋め込まれることで、チャンバ壁を所定の温度に加熱する。ヒーターは、処理チャンバ110の壁の温度を、約40℃から約150℃、たとえば約40℃から約80℃に維持して良い。圧力計(図示されていない)が、処理チャンバの圧力の測定に用いられる。本発明の実施例によると、処理チャンバ圧力は約1mTorr〜約500mTorrであって良い。あるいはその代わりに処理チャンバ圧力は約10mTorr〜約100mTorrであって良い。
また図4に図示されているように、蒸気分配システム130は、処理チャンバ110の上側部分111と結合する。蒸気分配システム130は蒸気分配板131を有する。蒸気分配板131は、蒸気分配プレナム132から、1本以上のオリフィス134を介してパターニング基板125上のプロセス領域133へ、前駆体蒸気を導入するように備えられている。
さらに、気相前駆体を、気相前駆体供給システム140から、蒸気分配プレナム132へ導入するため、開口部135が上側チャンバ部分111中に供される。しかも、冷却又は加熱流体を流すように備えられた同心円状の流体チャネルのような温度制御素子136が供されることで、蒸気分配システム130の温度を制御する。それにより、蒸気分配システム130内部でのRu3(CO)12前駆体の分解又は凝集が防止される。たとえば水のような流体は、蒸気分配温度制御システム138から流体チャネルへ供給されて良い。蒸気分配温度制御システム138は、流体源、熱交換機、流体温度及び/又は蒸気分配板温度を測定する1つ以上の温度センサ、並びに、蒸気分配板131の温度を約20℃から約150℃の範囲で制御するように備えられている制御装置を有して良い。Ru3(CO)12前駆体については、蒸気分配板131の温度は、該蒸気分配板131上での前駆体の凝集を回避するため約65℃以上に維持されて良い。
図4に図示されているように、金属前駆体気化システム150は、Ru3(CO)12前駆体152を保持し、そのRu3(CO)12前駆体152の温度を上昇させることによってRu3(CO)12前駆体152を気化(又は昇華)させるように備えられている。“気化”、“昇華”及び“蒸発”という語は、本明細書では、固相又は液相から気相(ガス)が生成されることを一般的に指すものとして、同義的に用いられている。その際、気相への変換はたとえば、固相から液相を経て気相、固相から気相、又は液相から気相のいずれであるかにはよらない。
Ru3(CO)12前駆体152を加熱することでRu3(CO)12前駆体152の所望の蒸気圧を生成する温度にRu3(CO)12前駆体152を維持するための前駆体ヒーター154が供される。前駆体ヒーター154はRu3(CO)12前駆体152の温度を制御するように備えられた気化温度制御システム156と結合する。たとえば前駆体ヒーター154は、Ru3(CO)12前駆体152の温度を、約40℃から150℃の範囲又は約60℃から90℃の範囲で調節するように備えられていて良い。
Ru3(CO)12前駆体152が加熱されることで蒸発(又は昇華)するので、キャリアガスは、膜用前駆体の上又は付近を通り抜けて、生成されるRu3(CO)12前駆体蒸気を捕獲する。CO含有気体はCO及び任意で不活性キャリア気体を有する。キャリア気体はたとえば、N2又は希ガス(He、Ne、Ar、Kr若しくはXe)、又はそれら2種類以上の混合気体を有して良い。たとえばガス供給システム160は金属前駆体気化システム150と結合する。ガス供給システム160はたとえば、COガスをRu3(CO)12前駆体152全体にわたって、又はRu3(CO)12前駆体152を介するように流すように備えられている。図4には図示されていないものの、COガスが気相前駆体供給システム140へ入り込み、たとえばCOガスを含む前処理気体によってパターニング基板125を前処理することで、Ru3(CO)12前駆体蒸気とCOガスを含む処理気体にパターニング基板125を暴露する前に、吸着するCO気体によってパターニング基板125の暴露表面を飽和させる際又はその後、ガス供給システム160はまた、気相前駆体供給システム140と結合することで、COガスを金属前駆体152の蒸気へ供給して良い。
ガス供給システム160は、不活性キャリアガス、COガス、又はこれらの混合気体を有する気体源161、1つ以上の制御バルブ162、1つ以上のフィルタ164、及びマスフローコントローラ165を有して良い。たとえばCO含有気体のマスフロー速度は約0.1sccm〜約1000sccmの範囲であって良い。
それに加えて、金属前駆体気化システム150からの全ガス流を測定するセンサ166が供される。センサ166はたとえばマスフローコントローラを有して良く、処理チャンバ110へ供給される膜用前駆体の量は、センサ166及びマスフローコントローラ165を用いることによって決定されて良い。あるいはその代わりに、コントローラ196は、不活性キャリアガス、COガス及びRu3(CO)12前駆体蒸気の供給、遮断、及び流れを制御する。センサ166はまた、コントローラ196と接続し、かつセンサ166に基づく。コントローラ196は、マスフローコントローラ165を介したキャリアガスの流れを制御することで、金属カルボニル前駆体を、処理チャンバ110へ所望の状態で流すことができる。
バイパスライン167が、センサ166の下流に設けられて良い。バイパスライン167は、蒸気供給システム140を排気ライン116と接続して良い。バイパスライン167が供されることで、気相前駆体供給システム140は排気され、処理チャンバ110へのRu3(CO)12前駆体の供給は安定化する。それに加えて、バイパスバルブ168がバイパスライン167上に供される。バイパスバルブ168は、気相前駆体供給システム140の分岐位置から下流に設けられている。
さらに図4を参照すると、気相前駆体供給システム140は、第1バルブ141及び第2バルブ142をそれぞれ有する高コンダクタンス蒸気ラインを有する。それに加えて、気相前駆体供給システム140は、ヒーター(図示されていない)を介して気相前駆体供給システム140を加熱するように備えられている蒸気ライン温度制御システム143をさらに有して良い。蒸気ラインの温度は、その蒸気ライン中でRu3(CO)12前駆体が凝集しないように制御されて良い。蒸気ラインの温度は、約20℃から約100℃、又は約40℃から約90℃の範囲で制御されて良い。
しかもCOガスはガス供給システム190から供されて良い。たとえばガス供給システム190は、気相前駆体供給システム140と結合し、かつたとえば希釈ガスを気相のRu3(CO)12前駆体へ供給するように備えられている。希釈ガス供給システム190は、COガス源191、1つ以上の制御バルブ192、1つ以上のフィルタ194、及びマスフローコントローラ195を有して良い。たとえば、キャリアガスの流速は、約5sccmから約1000sccmの間であって良い。
マスフローコントローラ165、マスフローコントローラ195、バルブ162、バルブ192、バルブ168、バルブ141、及びバルブ142は、コントローラ196によって制御される。コントローラ196は、キャリアガス、膜用前駆体蒸気及び希釈ガスの供給、遮断、及び流れを制御する。センサ166はまたコントローラ196とも接続する。センサ166に基づいて、コントローラ196は、マスフローコントローラ165を介したキャリアガスの流れを制御することで、金属カルボニル前駆体を、処理チャンバ110へ所望の状態で流すことができる。
図4に図示されているように、排気ライン116は、排気チャンバ113を排気システム118と接続する。真空ポンプ119は、処理チャンバ110を所望の真空度まで排気し、かつプロセス中に、処理チャンバ110から気体物質を除去するのに用いられる。自動圧力コントローラ(APC)115及びトラップ117は、真空ポンプ119と繋いで用いられて良い。真空ポンプ119は、最大で毎秒500リットル(以上)の排気速度を有するターボ分子ポンプ(TMP)を有して良い。あるいはその代わりに、真空ポンプ119は、乾燥型粗引きポンプを有しても良い。プロセス中、キャリアガス、希釈ガス若しくはRu3(CO)12前駆体蒸気又はこれらの混合気体が処理チャンバ110内に導入され、チャンバ圧力はAPC115によって調節されて良い。たとえばチャンバ圧力は約1mTorrから約500mTorrの範囲であって良く、別な例では、チャンバ圧力は約5mTorrから約50mTorrの範囲であって良い。APC115は、蝶型バルブ又はゲートバルブを有して良い。トラップ117は、未反応のRu3(CO)12前駆体、及び副生成物を、処理チャンバ110から回収して良い。
図4に図示されているように、処理チャンバ110内の基板ホルダ120を再度参照すると、パターニング基板125を保持、上昇及び下降させる、3つの基板リフトピン127(図4では2本のみ図示されている)が供される。基板リフトピン127は、プレート123と結合し、基板ホルダ120の上側面より下に下降させて良い。たとえば空気シリンダを利用する駆動機構129は、プレート123を上昇及び下降させる手段を供する。パターニング基板125は、ロボット搬送システム(図示されていない)を介することで、ゲートバルブ200及びチャンバ貫通接続経路202を通り抜けて、処理チャンバへ搬入及び搬出され、かつ基板リフトピン127によって受け取られる。一旦パターニング基板125が搬送システムから受け取られると、パターニング基板125は、基板リフトピン127を下降させることによって、基板ホルダ120の上側面にまで下降させて良い。
再度図4を参照すると、制御装置180は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、プロセスシステム100からの出力を監視するのみならず、プロセスシステム100の入力をやり取りし、かつ活性化させるのに十分な制御電圧を発生させる能力を有する。しかも、プロセスシステム制御装置180は、処理チャンバ110、前駆体供給システム105、蒸気分配温度制御システム138、真空排気システム118、及び基板ホルダ温度制御システム128と結合し、かつこれらと情報の交換を行う。前駆体供給システム105は、制御装置196、蒸気ライン温度制御システム143、及び気化温度制御システム156を有する。真空排気システム118では、制御装置180は、処理チャンバ110内の圧力を制御する自動圧力コントローラ115と結合し、かつそれと情報の交換を行う。メモリ内に記憶されるプログラムは、記憶されたプロセスレシピに従う堆積システム100の上述の部品を制御するのに利用される。
制御装置180は、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等で実装されても良い。その制御装置は、基板処理装置に、コンピュータによる読み取りが可能な媒体から制御装置に格納されている1以上の命令に係る1以上のシーケンスを実行する制御装置360及び460に応答して、本発明に係る処理工程の一部又は全部を実行させる。
制御装置180は、コンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
コンピュータコード装置は、如何なる解釈可能又は実行可能なコード機構であって良い。コンピュータコード装置には、解釈可能なプログラム、ダイナミックリンクライブラリ(DLLs)、Javaクラス、及び完全に実行可能なプログラムが含まれるが、これらに限定されるわけではない。しかも処理のほとんどは、性能、信頼性、及び/又はコストを向上するために分配されて良い。
本明細書で用いられている“コンピュータによる読み取りが可能な媒体”という語は、実行するための堆積システム100のプロセッサへ命令を供することに関与する媒体を意味する。コンピュータによる読み取りが可能な媒体は如何なる形式を取っても良い。コンピュータによる読み取りが可能な媒体には、不揮発性媒体及び透過性媒体が含まれるが、これらに限定されるわけではない。不揮発性媒体にはたとえば、ハードディスクや取り外し可能な媒体ドライブのような、光学ディスク、磁気ディスク、及び磁気光学ディスクが含まれる。揮発性媒体には主メモリのようなダイナミックメモリが含まれる。しかも、実行用の制御装置のプロセッサへ1つ以上の命令を含む1つ以上のシーケンスを実行する際には、様々な形式のコンピュータによる読み取りが可能な媒体が含まれて良い。たとえば命令は最初離れた位置にあるコンピュータの磁気ディスク上で実行されて良い。その離れた位置にあるコンピュータは、離れた場所から命令を読み取ってダイナミックメモリへ送り、ネットワークを介して命令をデータ堆積システム100へ送る。
制御装置180は、堆積システム1に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して処理システム1に対して離れた場所に設置されても良い。よって制御装置180は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって処理システム1とのデータのやり取りをして良い。制御装置180は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。当業者にはすぐ理解されるように、制御装置180はワイヤレス接続を介して堆積システム100とデータをやり取りしても良い。
半導体デバイスの製造に選択的低温Ru堆積を統合することで、バルクCu金属中でのEM及びSMを改善する方法が様々な実施例において開示されてきた。

Claims (20)

  1. 半導体デバイスの作製方法であって:
    誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程;
    H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で前記バルクCu金属及び前記誘電体膜を熱処理する工程;並びに、
    前記の熱処理されたバルクCu金属上にRu金属膜を選択的に堆積する工程;
    を有する方法。
  2. 前記熱処理及び堆積は200℃よりも低い基板温度で実行される、請求項1に記載の方法。
  3. 前記堆積は100℃〜300℃の基板温度で実行される、請求項1に記載の方法。
  4. 前記熱処理は200℃よりも低い基板温度で実行される、請求項1に記載の方法。
  5. 前記熱処理は200℃〜400℃の基板温度で実行される、請求項1に記載の方法。
  6. 前記Ru金属膜の厚さは1Å〜30Åである、請求項1に記載の方法。
  7. 前記Ru金属膜の厚さは10Å未満である、請求項1に記載の方法。
  8. 前記平坦化されたバルクCu金属上での前記Ru金属膜の表面被覆は、前記平坦化されたバルクCu金属を暴露するギャップを有する不完全な状態である、請求項1に記載の方法。
  9. 前記のRu金属膜を選択的に堆積する工程が:
    Ru3(CO)12前駆体気体及びCO気体を含む処理気体を生成する工程;並びに、
    熱化学気相成長法において前記処理気体に前記パターニング基板を暴露する工程;
    を有し、
    前記の処理気体を生成する工程は:
    前駆体気化システム内で固体Ru3(CO)12前駆体を40℃〜150℃の温度にまで加熱し、かつ前記固体Ru3(CO)12前駆体を前記温度に維持することで、Ru3(CO)12前駆体気体を生成する工程;
    前記加熱中、前駆体気化システム内で前記固体Ru3(CO)12前駆体と接するように前記CO気体を流すことで、生成される前記Ru3(CO)12前駆体気体を前記CO気体中で捕獲する工程;並びに、
    前記前駆体気化システムから前記パターニング基板を含む処理チャンバへ前記処理気体を輸送する工程;
    を有する、
    請求項1に記載の方法。
  10. 前記凹部は1つ以上の溝及び1つ以上のビアを有する、請求項1に記載の方法。
  11. 前記パターニング基板は前記凹部内にバリア層をさらに有する、請求項1に記載の方法。
  12. 前記Ru金属膜上及び前記誘電体層上にコンフォーマルなキャップ層を堆積する工程をさらに有する、請求項1に記載の方法。
  13. 前記キャップ層を堆積する工程の前に、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で基板温度を150℃〜400℃にして前記Ru金属膜及び前記誘電体層を熱処理する工程をさらに有する、請求項1に記載の方法。
  14. 半導体デバイスの作製方法であって:
    誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程;
    H2が存在する中で200℃未満の基板温度にて前記バルクCu金属及び前記誘電体膜を熱処理する工程;並びに、
    熱化学気相成長法においてRu3(CO)12前駆体気体及びCO気体を含む処理気体に前記パターニング基板を暴露することによって、前記の熱処理された平坦化バルクCu金属上にRu金属膜を選択的に堆積する工程;
    を有する方法。
  15. 前記Ru金属膜上及び前記誘電体層上にコンフォーマルなキャップ層を堆積する工程をさらに有する、請求項14に記載の方法。
  16. 前記キャップ層を堆積する工程の前に、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で基板温度を150℃〜400℃にして前記Ru金属膜及び前記誘電体層を熱処理する工程をさらに有する、請求項15に記載の方法。
  17. 前記Ru金属膜の厚さは2Å〜30Åである、請求項14に記載の方法。
  18. 半導体デバイスの作製方法であって:
    誘電体層中に凹部を有するパターニング基板を供する工程であって、前記凹部は平坦化されたバルクCu金属によって少なくとも実質的に充填されている工程;
    H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で前記バルクCu金属及び前記誘電体膜を熱処理する工程;並びに、
    熱化学気相成長法においてRu3(CO)12前駆体気体及びCO気体を含む処理気体に前記パターニング基板を暴露することによって、前記の熱処理された平坦化バルクCu金属上にRu金属膜を選択的に堆積する工程;
    を有する方法。
  19. 前記Ru金属膜上及び前記誘電体層上にコンフォーマルなキャップ層を堆積する工程をさらに有する、請求項18に記載の方法。
  20. 前記キャップ層を堆積する工程の前に、H2、N2、若しくはNH3、又はこれらの混合気体が存在する中で基板温度を150℃〜400℃にして前記Ru金属膜及び前記誘電体層を熱処理する工程をさらに有する、請求項19に記載の方法。
JP2010544381A 2008-01-22 2009-01-19 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法 Expired - Fee Related JP5550566B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/018,074 2008-01-22
US12/018,074 US7776740B2 (en) 2008-01-22 2008-01-22 Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
PCT/US2009/031414 WO2009094325A1 (en) 2008-01-22 2009-01-19 Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device

Publications (3)

Publication Number Publication Date
JP2011510517A true JP2011510517A (ja) 2011-03-31
JP2011510517A5 JP2011510517A5 (ja) 2011-12-08
JP5550566B2 JP5550566B2 (ja) 2014-07-16

Family

ID=40548644

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010544381A Expired - Fee Related JP5550566B2 (ja) 2008-01-22 2009-01-19 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法

Country Status (6)

Country Link
US (1) US7776740B2 (ja)
JP (1) JP5550566B2 (ja)
KR (1) KR20100116631A (ja)
CN (1) CN101981686B (ja)
TW (1) TWI564964B (ja)
WO (1) WO2009094325A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012519373A (ja) * 2009-02-27 2012-08-23 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体デバイスのメタライゼーションシステムにおいて優れたエレクトロマイグレーション性能を提供すること及び敏感な低k誘電体の劣化を低減すること
JP2017092101A (ja) * 2015-11-04 2017-05-25 東京エレクトロン株式会社 パターン形成方法
KR20200087878A (ko) * 2017-12-16 2020-07-21 어플라이드 머티어리얼스, 인코포레이티드 루테늄의 선택적 원자 층 증착

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7737528B2 (en) * 2008-06-03 2010-06-15 International Business Machines Corporation Structure and method of forming electrically blown metal fuses for integrated circuits
US8823176B2 (en) * 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8232200B1 (en) * 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
CN102214612B (zh) * 2011-05-27 2015-10-07 上海华虹宏力半导体制造有限公司 静态随机存储器的制备方法
WO2013126323A1 (en) * 2012-02-23 2013-08-29 Applied Materials, Inc. Method and apparatus for precursor delivery
TWI621161B (zh) * 2015-06-05 2018-04-11 東京威力科創股份有限公司 用於內連線的釕金屬特徵部填補
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9768063B1 (en) * 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006128680A (ja) * 2004-10-26 2006-05-18 Asm Japan Kk 集積回路内での金属層の選択的形成
US20060110530A1 (en) * 2004-11-23 2006-05-25 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
JP2007103950A (ja) * 2005-10-07 2007-04-19 Asm Japan Kk 金属配線構造の形成方法
JP2007150298A (ja) * 2005-11-23 2007-06-14 Internatl Business Mach Corp <Ibm> 導体−誘電体構造およびこれを作成するための方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851895A (en) 1985-05-06 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Metallization for integrated devices
US4929468A (en) 1988-03-18 1990-05-29 The United States Of America As Represented By The United States Department Of Energy Formation of amorphous metal alloys by chemical vapor deposition
US4938999A (en) 1988-07-11 1990-07-03 Jenkin William C Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl
US5171610A (en) 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5314727A (en) 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5359787A (en) 1993-04-16 1994-11-01 Air Products And Chemicals, Inc. High purity bulk chemical delivery system
CA2206217C (en) 1997-05-27 2003-01-07 Miroslav Milinkovic Nickel carbonyl vapour deposition process
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
EP1073618B1 (en) * 1998-05-01 2003-12-03 Pfizer Products Inc. Process for the production of enantiomerically pure or optically enriched sertraline-tetralone using continuous chromatography
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6074945A (en) 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
EP1131475A1 (en) 1998-11-03 2001-09-12 Chemical Vapour Deposition Systems Inc. Nickel carbonyl vapour deposition apparatus and process
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6232230B1 (en) 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
US6319832B1 (en) 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6303809B1 (en) 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US7228556B2 (en) * 1999-12-21 2007-06-05 Tivo Inc. Distributed, interactive television program guide; system and method
KR100775159B1 (ko) * 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
US6420583B1 (en) 2001-09-27 2002-07-16 Praxair Technology, Inc Methods of synthesizing ruthenium and osmium compounds
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP3992588B2 (ja) 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050110142A1 (en) 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
JP2006128288A (ja) 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7288479B2 (en) 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7396766B2 (en) 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US20070059502A1 (en) 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7439624B2 (en) 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006128680A (ja) * 2004-10-26 2006-05-18 Asm Japan Kk 集積回路内での金属層の選択的形成
US20060110530A1 (en) * 2004-11-23 2006-05-25 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
JP2008520835A (ja) * 2004-11-23 2008-06-19 東京エレクトロン株式会社 金属カルボニル前駆体からの金属層の成膜速度を上げる方法
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
JP2007103950A (ja) * 2005-10-07 2007-04-19 Asm Japan Kk 金属配線構造の形成方法
JP2007150298A (ja) * 2005-11-23 2007-06-14 Internatl Business Mach Corp <Ibm> 導体−誘電体構造およびこれを作成するための方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012519373A (ja) * 2009-02-27 2012-08-23 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体デバイスのメタライゼーションシステムにおいて優れたエレクトロマイグレーション性能を提供すること及び敏感な低k誘電体の劣化を低減すること
JP2017092101A (ja) * 2015-11-04 2017-05-25 東京エレクトロン株式会社 パターン形成方法
KR20200087878A (ko) * 2017-12-16 2020-07-21 어플라이드 머티어리얼스, 인코포레이티드 루테늄의 선택적 원자 층 증착
CN111492467A (zh) * 2017-12-16 2020-08-04 应用材料公司 钌的选择性原子层沉积
JP2021507510A (ja) * 2017-12-16 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ルテニウムの選択的原子層堆積
JP7094367B2 (ja) 2017-12-16 2022-07-01 アプライド マテリアルズ インコーポレイテッド ルテニウムの選択的原子層堆積
KR102451599B1 (ko) 2017-12-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 루테늄의 선택적 원자 층 증착
CN111492467B (zh) * 2017-12-16 2023-08-11 应用材料公司 钌的选择性原子层沉积

Also Published As

Publication number Publication date
CN101981686A (zh) 2011-02-23
US7776740B2 (en) 2010-08-17
US20090186481A1 (en) 2009-07-23
CN101981686B (zh) 2016-03-02
WO2009094325A1 (en) 2009-07-30
TWI564964B (zh) 2017-01-01
KR20100116631A (ko) 2010-11-01
TW200947558A (en) 2009-11-16
JP5550566B2 (ja) 2014-07-16

Similar Documents

Publication Publication Date Title
JP5550566B2 (ja) 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
JP5406191B2 (ja) ルテニウムの選択堆積を半導体デバイスの作製に統合する方法
JP5674669B2 (ja) ルテニウム金属キャップ層を形成する方法
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7473634B2 (en) Method for integrated substrate processing in copper metallization
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7718527B2 (en) Method for forming cobalt tungsten cap layers
JP2013507008A5 (ja)
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
KR100922905B1 (ko) 성막 방법, 반도체 장치의 제조 방법, 반도체 장치, 프로그램 및 기록매체
JPWO2007094044A1 (ja) 半導体装置の製造方法、及び半導体製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111020

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140422

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140520

R150 Certificate of patent or registration of utility model

Ref document number: 5550566

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees