JP2008546005A - 製造を理解した設計および設計を理解した製造 - Google Patents

製造を理解した設計および設計を理解した製造 Download PDF

Info

Publication number
JP2008546005A
JP2008546005A JP2008512575A JP2008512575A JP2008546005A JP 2008546005 A JP2008546005 A JP 2008546005A JP 2008512575 A JP2008512575 A JP 2008512575A JP 2008512575 A JP2008512575 A JP 2008512575A JP 2008546005 A JP2008546005 A JP 2008546005A
Authority
JP
Japan
Prior art keywords
design
manufacturing
layout
settings
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008512575A
Other languages
English (en)
Other versions
JP2008546005A5 (ja
Inventor
シェーファー、ルイス、ケイ.
晶 藤村
Original Assignee
ケイデンス デザイン システムズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/214,472 external-priority patent/US7395516B2/en
Application filed by ケイデンス デザイン システムズ,インコーポレイテッド filed Critical ケイデンス デザイン システムズ,インコーポレイテッド
Publication of JP2008546005A publication Critical patent/JP2008546005A/ja
Publication of JP2008546005A5 publication Critical patent/JP2008546005A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35028Adapt design as function of manufacturing merits, features, for manufacturing, DFM
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本発明のいくつかの実施態様は、集積回路(「IC」)レイアウトを設計するための製造を理解したプロセスを提供する。このプロセスは、ICレイアウトに基づいてICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を受ける。このプロセスは、この指定された製造構成に基づいて一組のデザインルールを規定する。このプロセスは、このICレイアウトを設計するためにこの一組のデザインルールを使用する。本発明のいくつかの実施態様は、集積回路(「IC」)を製造するための設計を理解したプロセスを提供する。このプロセスは、関連した一組の設計プロパティを備えたIC設計を受ける。このプロセスは、ICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を指定し、ここでこの指定された一組の製造設定は、この一組の設計プロパティに基づく。このプロセスは、製造設定に基づいてICを製造するものである。
【選択図】図12

Description

先願に対する利益の主張
本出願は、「集積回路製造の歩留りを向上するための方法およびシステム」という名称の、2005年5月20日出願の米国特許仮出願第60/683,440号に対する利益を主張する。本出願は、また、「製造を理解した設計および設計を理解した製造」という名称の、2005年8月28日出願の米国特許出願第11/214,472号に対する利益をも主張する。両方の出願が、参照によってここに組み込まれる。
本発明の技術分野
本発明は、製造を理解した設計および設計を理解した製造に関する。
集積回路(「IC」)は、多数の電子構成要素、例えばトランジスタ、抵抗、ダイオード、などを含むデバイス(例えば半導体デバイス)である。これらの構成要素は、複数の回路構成要素、例えばゲート、セル、メモリユニット、演算ユニット、コントローラ、デコーダ、などを形成するためにしばしば相互接続される。ICは、その電子および回路構成要素を相互接続する複数レイヤの配線を含む。
設計技師はIC構成要素の論理あるいは回路記述を、レイアウトと呼ばれる幾何的記述に変換することによってICを設計する。ICレイアウトは、一般的に(1)ピンを備えた回路モジュール(すなわち電子あるいは回路IC構成要素の幾何的表現)および(2)回路モジュールのピンを接続する接続線(すなわち配線の幾何的表現)を含む。ネットが、接続される必要がある一まとまりのピンとして、一般的に規定される。レイアウトを作成するために、設計技師は一般的に電子自動設計(「EDA」)アプリケーションを使用する。これらのアプリケーションは、IC設計レイアウトを作成し、編集し、分析するためのコンピュータによって動作するツールの組を提供する。
製造工場(「工場」)が、これらのIC設計レイアウトに基づいてICを製造する。ICレイアウトの設計が完成されたあと、ICを製造するために、フォトマスクがICレイアウトのさまざまな幾何学形状を含むように、リソグラフィプレート(フォトマスク)がICレイアウトに基づいて作成される。フォトマスク上に含まれるさまざまな幾何学形状は、特定の回路パターンでウェーハ上に作成されるIC要素(例えばIC構成要素、接続線、ビアパッド、など)を表し、このウェーハは集積回路の基板を形成する。
一部の状況において、いくつかの工場は、他の所では通用するIC設計レイアウトに基づいてICを製造することが可能でない。これは、このIC設計レイアウトが、工場が有していない特定の製造能力/設定を必要とすることに起因している。このように、これらのIC設計レイアウトは製造制約条件を考慮に入れていない。したがって、これらの製造制約条件のために、このIC設計レイアウトは、これらの製造制約条件を考慮するように修正される必要がある。しかし、この種の修正は、IC設計レイアウトが設計されて、工場に送られた後でなされる。
したがって、製造プロセスの制約条件(例えばリソグラフィプロセス)を考慮に入れることが可能な一組のコンピュータによって動作するツール用の技術のニーズがある。同様に、ICを設計するために使用される制約条件を理解する一組の製造プロセス用の技術のニーズがある。
本発明のいくつかの実施態様が、集積回路(「IC」)レイアウトを設計するための製造を理解したプロセスを提供する。このプロセスは、ICレイアウトに基づいてICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を受ける。このプロセスは、この指定された製造構成に基づいて一組のデザインルールを規定する。このプロセスは、このICレイアウトを設計するためにこの一組のデザインルールを使用する。
本発明のいくつかの実施態様は、集積回路(「IC」)を製造するための設計を理解したプロセスを提供する。このプロセスは、関連した一組の設計プロパティを備えたIC設計を受ける。このプロセスは、ICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を指定し、ここでこの指定された一組の製造設定は、この一組の設計プロパティに基づく。このプロセスは、製造設定に基づいてICを製造する。
いくつかの実施態様において、この一組の設計プロパティはこの設計の一組の特性を含む。いくつかの実施態様において、この一組の設計特性は(1)ICレイアウトの特定のレイヤの一組の要素に対する特定の寸法属性および/または(2)特定のレイヤ上における特定の方向の、特定の量の配線などを含む。
いくつかの実施態様において、この一組の設計プロパティは一組のデザインルールを含む。本発明のいくつかの実施態様において、この一組のデザインルールは、ICレイアウトの幾何的要素の一組の寸法属性を指定する。いくつかの実施態様において、これらの幾何的要素はモジュール、ルート、ビア、コンタクト、などを含むことができる。幾何的要素のこの一組の寸法属性は、いくつかの実施態様において寸法、幅、形状、回転、方位、間隔、密度、距離および/またはピッチを含むことができる。
いくつかの実施態様において、製造設定の組は、ICの各々のレイヤに対する、ステッパレンズ構成および種類、アパーチャ設定、露光設定および/または光波長設定を含む。
(図面の簡単な説明)
本発明の新規な特徴が、添付の特許請求の範囲に記載される。しかし、説明の目的のために、本発明のいくつかの実施態様が以下の図に示される。
図1は、設計プロセスを含む流れ図を示すものである。
図2は、いくつかの実施態様がICを作成するために使用する設計を理解した製造プロセスを示すものである。
図3は、上に記述される製造プロセス中に照明作業を実行するために使用される照明構成の実施例を示すものである。
図4は、上に記述される製造プロセス中に照明作業を実行するために使用されるアパーチャマスクを伴う照明構成の実施例を示すものである。
図5は、ICの構成要素がアパーチャ設定の下でどのように製造されることができるかについて示すものである。
図6は、ICの構成要素が別のアパーチャ設定の下でどのように製造されることができるかについて示すものである。
図7は、より短い波長がどのようにより長い波長よりIC構成要素を確実に製造するかについて示すものである。
図8は、より長い波長がどのようにより短い波長よりIC構成要素を製造するかについて示すものである。
図9は、ステッパレンズがどのようにICを製造することができるかについて示すものである。
図10は、別のステッパレンズがどのようにICを製造することができるかについて示すものである。
図11は、ステッパレンズを含まない構成の実施例を示すものである。
図12は、製造を理解した設計プロセスを含むICを設計して、製造するためのプロセスを示すものである。
図13は、ICを設計するためのプロセス1300を示すものである。
図14は、本発明のいくつかの実施態様が実装されるコンピュータシステムを概念的に示すものである。
以下の記述において、数多くの詳細が説明の目的のために記載される。しかし、当業者は、本発明がこれらの具体的な詳細を使用することなく実施されることができることを理解するであろう。他の場合において、周知の構造体およびデバイスが不必要な詳細によって本発明の記述を不明瞭にしないためにブロック図形式で示される。
本発明のいくつかの実施態様が、集積回路(「IC」)レイアウトを設計するための、製造を理解したプロセスを提供する。このプロセスは、このICレイアウトに基づいてICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を受ける。このプロセスは、指定された製造構成に基づいて一組のデザインルールを規定する。このプロセスは、このICレイアウトを設計するためにこの一組のデザインルールを使用する。
本発明のいくつかの実施態様は、集積回路(「IC」)を製造するための設計を理解したプロセスを提供する。このプロセスは、関連した一組の設計プロパティを備えたIC設計を受ける。このプロセスは、ICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を指定し、ここでこの指定された一組の製造設定は、この一組の設計プロパティに基づく。このプロセスは、製造設定に基づいてICを製造する。
いくつかの実施態様において、この一組の設計プロパティはこの設計の一組の特性を含む。いくつかの実施態様において、この一組の設計特性は(1)ICレイアウトの特定のレイヤの一組の要素に対する特定の寸法属性および/または(2)特定のレイヤ上における特定の方向の、特定の量の配線などを含む。
いくつかの実施態様において、この一組の設計プロパティは一組のデザインルールを含む。本発明のいくつかの実施態様において、この一組のデザインルールは、ICレイアウトの幾何的要素の一組の寸法属性を指定する。いくつかの実施態様において、これらの幾何的要素はモジュール、ルート、ビア、コンタクト、などを含むことができる。幾何的要素のこの一組の寸法属性は、いくつかの実施態様において寸法、幅、形状、回転、方位、間隔、密度、距離および/またはピッチを含むことができる。
いくつかの実施態様において、製造設定の組は、ICの各々のレイヤに対する、ステッパレンズ構成および種類、アパーチャ設定、露光設定および/または光波長設定を含む。
本発明のいくつかの実施態様を更に詳細に記述する前に、製造を理解した設計プロセスの概要が、最初に節Iに記載される。本出願に使用される用語に対するさまざまな定義が、節IIで以下に記載される。次に、設計を理解した製造(「DAM」)プロセスが節IIIに記載される。節IVが、次いで製造を理解した設計(「MAD」)プロセスを記載する。最後に、本発明のいくつかの実施態様を実装することが可能なコンピュータシステムが、節Vに記載される。
I.概要
図1は、設計プロセスを含む流れ図100を示す。この図に示すように、レイヤタイプが(102で)識別されることができる。識別されたレイヤタイプは、一般に回路の特定のレイヤ上に存在し、および/または欠如する、任意の個々のおよび/または群の特性に基づいて識別されることができる。レイヤタイプの実施例は、次の通りである:
・高密度の水平相互接続線、
・高密度の斜め相互接続線、
・高密度の垂直相互接続線、
・高密度のビア、
・高密度の水平および垂直相互接続線、しかし、ほんのわずかの斜め相互接続線、
・ビアの欠乏、
・水平接続線の欠乏、
・特定の幾何学形状を備えた構成要素の欠乏。
いくつかの実施態様において、この識別されたレイヤは物理的な構成要素の幾何的および/または電気特性の任意の組合せあるいは物理的な構成要素の任意の単一の幾何的および/または電気特性に基づくことができる。
104において、製造機器上の特定の構成および/または設定の能力および制約に関連した機械仕様が決定される。能力の決定は、(1)実験的な結果、(2)理論的な能力および/または制約、(3)機械供給元/メーカ仕様および/または(4)その他の周知のおよび/または都合のいい方法に基づくことができる。
106において、104で決定される機械仕様が、ルールの組106に変換される。ルールの各々の組は、特定の構成および/または設定を与えられた製造機器の決定された能力および/または制約に基づくことができる。102からの各々の識別されたレイヤは、次いでレイヤの識別された特長および製造機械の設定の決定された特性に基づいて、106で生成されるルールと、突き合わせられることができる。例えば、第1のレイヤが高密度の垂直相互接続線を有するとして識別され、および、製造機械の特定の設定/構成によって垂直線がより密な間隔でよりさらに正確に印刷されることができる場合、識別されたレイヤは、この種の設定/構成に伴うルールおよび機械設定/構成と突き合わせられるだろう。いくつかの実施態様において、特定のルールを識別されたレイヤと突き合わせるプロセスは、任意の周知のおよび/または都合のいいシステムおよび/または方法を使用してなされることができる。いくつかの実施態様において、識別されたレイヤの数は予め定められた量に限定されることができるか、あるいは無制限とすることができ、および/または機械設定の数が予め定められた量に限定することができる、無制限とすることができる。
108において、回路の設計および抽出は識別されたルールおよびレイヤタイプを使用して実行されることができる。108における設計および抽出プロセスの間、システムは特定の機械設定/構成および識別されたレイヤタイプに伴うルールに基づいてレイヤを最適化することができる。この設計および抽出は、任意の周知のおよび/または都合のいいシステムおよび/または方法を使用して実行されることができる。
次に、110において、設計および抽出は、104で決定される機械仕様に基づく、回路の各々のレイヤに伴う製造機械の提案された設定/構成とともに受け取られることができる。
112において、設計および抽出は、提案された設計および抽出が両方とも要求されるように機能するかどうか、および/または製造工場の能力の範囲内にあるかどうか、を判定するために検証されることができる。提供された設計が検証される場合、それは製造へ進むことができ、あるいは、設計が検証されない場合、それは設計プロセスに戻されることができる。設計プロセスに戻される場合、回路の内部の特定の領域が問題を含むとして識別されることができ、特定のデザインルールが問題を含むとして識別されることができ、および/または、特定の機械設定/構成が問題を含むとして識別されることができる。回路は、次いでアップデートされた情報に基づいて再設計され/抽出される。
実施態様を実践するのに必要な命令のシーケンスの実行は、図14に示すようにコンピュータシステム1400によって実行されることができる。いくつかの実施態様において、命令のシーケンスの実行は単一のコンピュータシステム1400によって実行される。他の実施態様によれば、2台以上のコンピュータシステム1400がお互いに協同して命令のシーケンスを実行することができる。コンピュータシステム1400の記述は、下記の節Vで提供される。しかし、任意の数のコンピュータシステム1400が実施態様を実践するために使用されることができることは、理解されるべきである。
一実施態様において、集積回路の設計は製造機器に対する特定の機械設定および構成を活用するように最適化されることができる。したがって、特定の種類の製造機器が前もって公知の場合、その機器に対する使用可能設定が認識されることができ、そして、ICチップの設計が、それらの使用可能設定のために利用するように構成されることができる。
II.定義
集積回路(「IC」)レイアウトは、さまざまな幾何学的要素(また、幾何学的特長と呼ばれる)を含む。これらの要素は、ICの構成要素の幾何学的表現である。ICレイアウトの幾何学的要素は、ルート、コンタクト、モジュール、ビア、などであることができる。したがって、これらの幾何学的要素はICの配線(すなわち相互接続)、コンタクトおよび/またはブロックを示すことができる。異なる幾何学的要素は、異なる属性を有することができる。いくつかの実施態様において、これらの属性は、ICレイアウトの一つ以上の要素の、寸法、幅、形状、回転、方位、間隔、密度、距離および/またはピッチ、を規定する寸法属性である。いくつかの実施態様において、この属性はICレイアウトの2つ以上の要素の間の関係、例えば異なるレイヤ上の要素(例えばビア、コンタクト)がお互いに重なり合う量、を規定することができる。
III.設計を理解した製造プロセス
図2は、ICを製造するための設計を理解したプロセス200を示す。マスク作成作業205が、製造プロセス200の初期の作業である。このマスク作成作業205は、ICの設計されたレイアウトの各々のレイヤに対する一つ以上のフォトリソグラフィマスクを作成する。このマスクは、ICのレイヤの特定の構成要素あるいは相互接続を規定する。このように、各々の特定のレイヤに対して、一つ以上のマスクがその特定のレイヤ上の構成要素あるいは相互接続を規定するために使用される。さらに、各々の特定のマスクは設計作業のICレイアウトのレイヤに基づく。
図2において更に示すように、リソグラフィプロセス207が設計を理解した製造プロセス200のマスク作成作業205に続き、それは、更に以下に記載される。
A.リソグラフィプロセス
図2に示すように、選択作業210がリソグラフィプロセス207の第1の作業である。選択作業210は、ICレイアウトの配線レイヤを選択する。リソグラフィプロセス207の選択作業210に続く作業は、設計を理解した照明作業215である。この図に示すように、この作業は潜在的に設計を理解した作業である(例えば、照明構成/設定はICレイアウトの受け取られたデザインルールおよび/または要素の寸法属性および/またはレイヤの特性に基づく)。設計を理解した照明作業は、節IIIBで更に記載される。照明作業215はマスクを照明することを伴い、それはウェーハ上へ光のパターンを露光する。照明されたマスクは、選択されたレイヤの上にICの特定の構成要素あるいは相互接続を画成する。
リソグラフィプロセス200の照明作業215の後、後露光作業220が実行される。異なる実施態様は異なる後露光作業を実行する。いくつかの実施態様において、後露光作業220は洗浄およびエッチング作業を含む。洗浄作業は、光のパターンに露光されたウェーハのフォトレジストレイヤの任意の領域を洗い流すことを伴う。この洗浄作業は、ウェーハのフォトレジストレイヤ上にマスクの刷り込まれたパターンを残す。一旦フォトレジストレイヤの露光領域が洗い流されると、エッチング作業がウェーハ上に実行される。エッチング作業の間、フォトレジストレイヤによって保護されていないウェーハの領域は、ガスにさらされる。ガスはウェーハの露光領域を除去し、それによって、所望の回路パターンのIC構成要素を形成する。
後露光作業220に続く作業は、露光判定作業225である。この図に示すように、露光判定作業225は潜在的に設計を理解した作業とすることができる。露光判定作業225は、追加露光が選択されたレイヤについて必要とされるかどうかについて判定することを伴う。追加露光が必要とされる場合、次の作業は照明作業215であり、そこで別のマスクが次の露光に対する選択された照明構成に基づいて照明される。例えば、二重ダイポール照明が選択される場合、追加露光が必要とされる。何の追加露光も必要とされない場合、その時設計を理解したリソグラフィプロセス207の次の作業はレイヤ判定作業230である。いくつかの実施態様において、設計を理解したリソグラフィプロセス207は露光判定作業225を含まず、したがって、後露光作業220の後の次の作業はレイヤ判定作業230である。
レイヤ判定作業230は、製造される必要がある追加レイヤがあるかどうかについて判定することを伴う。何の追加レイヤもない場合、設計を理解したリソグラフィプロセス207は終わる。しかし、追加レイヤがある場合、次の作業はレイヤ選択作業235である。この作業は、次の配線レイヤを選択することを伴う。照明作業215が、レイヤ選択作業235に続く。上記の通りに、この照明作業215は次の配線レイヤに対する照明構成を選択することを伴う。いくつかの実施態様において、設計を理解したリソグラフィプロセス207はレイヤ判定作業230を含まない。
いくつかの実施態様において、設計を理解したリソグラフィプロセス207は、全てのICの各々のレイヤを作成するために使用される生産ライン製造プロセスの複数の機械を用いて実行される。このプロセスにおいて、生産ラインの各々の機械は特定の照明構成(例えば特定のステッパレンズ)を有する。この生産ライン製造プロセスは、この生産ラインを用いて製造される全てのICの1つのレイヤだけを製造することに費やされる。いくつかの実施態様は、製造プロセス中に(例えば、個々のステッパレンズを変更することによって)変更される一台以上の機械を含む生産ライン製造プロセスを用いてリソグラフィプロセス200を実行する。
B.照明機械構成/設定
照明機械構成は、照明作業を実行することができる照明構成要素の配置である。これらの照明構成要素は、製造設定を含むことができる。リソグラフィプロセス中に、これらの製造設定が指定されることができる。異なる実施態様は、異なる製造設定を指定することができる。前述のように、設計を理解した製造プロセスの間、製造設定がICレイアウトの、デザインルールの組および/または要素の寸法属性および/または設計特性に基づいて指定されることができる。
i.照明構成
図3は、上に記載されたリソグラフィプロセス207中に、照明作業215を実行するために使用される照明構成の実施例を示す。この照明構成は、光源305、ステッパレンズ308、集光レンズ310、マスク315、投影レンズ320およびウェーハ325を含む。
光源305は、図3に例示される照明構成の照明器の一部である。この光源は、マスク315上に照射する光を与える。この照明器は、さまざまな設定で構成されることができる。いくつかの実施態様において、これらの設定は光がマスク315上にどのように照射されるかについて指定する。光源305は、光が貫通するレンズの部分を指定する、アパーチャ設定を有することができる。
図4に示すように、アパーチャマスク405はいくつかの実施態様において光を照射するために使用されるレンズの部分を指定するために使用されることができる。図4に示すように、アパーチャマスク405は光が貫通する穴部を含む。いくつかの実施態様はステッパレンズ308の前にアパーチャマスク405を配置し、他の実施態様は異なる位置にアパーチャマスク405を配置し、一方、他の実施態様がアパーチャマスクを利用せず、その代わりとして、所望の光学的効果を達成するためにステッパレンズの光学的性質を単純に使用するかもしれない。アパーチャマスク405およびステッパレンズ308は照明構成の2つの別々の構成要素として記載されるが、実施態様によっては、アパーチャマスク405およびステッパレンズ308は照明構成の1つの構成要素とすることができる。また、光源、アパーチャマスクおよびステッパレンズはいくつかの実施態様の照明器を形成する。他の実施態様は、照明プロセス中にアパーチャを制御するために他の周知の技術を使用することができる。
図3に示すように、光源305は垂直の入射から離れて位置を変えられている。光源305がこのような方法で位置を変えられる場合、光源305から来る光は垂直の入射から離れたある角度でレンズを照らす(すなわち、レンズを垂直な角度から離れて照らす)。この構成において、特定のレンズは、ステッパレンズ308である。この構成のステッパレンズ308の機能は、マスク315を照らす光のパターンを形づくることである。加えて、ステッパレンズ308はレンズ収差、例えば画像配置誤差に対する光のパターン感度を減少させる。
一旦光がステッパレンズ308を通過すると、それは集光レンズ310を通過する。集光レンズ310は、マスク315を通して光を焦点に結ばせる。マスク315を通過する光は、光のパターンを生成する。光のパターンは、次いで投影レンズ320を通過する。この通過は、光を焦点に集めて、係数(例えば4)だけ光を縮小する。焦点に集められて縮小された光のパターンは、次いでウェーハ325上へ投影する。
図3に例示される構成は、軸外照明構成と称する。用語「軸外」は、光源305が垂直の入射から離れて位置を変えられている場合を指す。しかし、当業者は、異なる実施態様が異なる構成要素を備えた異なる照明構成を使用することができることを理解するであろう。また、異なる実施態様は照明構成の構成要素に対する異なる設定を使用することができる。
ii.照明設定
上記したように、リソグラフィ機械に対する1つの可能な設定は光源のアパーチャであり、それはアパーチャマスクを用いて指定されることができる。いくつかの実施態様において、アパーチャマスクの穴部の寸法がより大きいほど、より多くの光が与えられた期間の間にレンズを通過し、そして、逆もまた同じである。換言すれば、光源のアパーチャを指定することは、どれくらいの光がマスク315を照明するかについて指定する。いくつかの実施態様において、大きいアパーチャは光が小さな穴部を通過することを意味し、一方小さなアパーチャは光が大きな穴部を通過することを意味する。一般的に、大きいアパーチャ(小さな穴部)はより小さいアパーチャ(より大きな穴部)より大きい焦点深度を与える。いくつかの実施態様において、より大きい焦点深度を備えた照明設定は、より小さい焦点深度を備えた照明設定と比べて、より低い精度を有する構成要素を作り出す。
図5−6は、ICの構成要素が異なるアパーチャ設定の下でどのように作り出されることができるかについて示す。図5に示すように、大きいアパーチャはより高い精度を有するICの構成要素(例えばコンタクト)(すなわち意図された設計からのより小さい変動)を作成する。したがって、小さい寸法属性(例えば幅、間隔、ピッチ、密度)がICレイアウトのレイヤの要素に対して指定される場合、設計を理解した製造プロセスは、リソグラフィ機械に対する可能な製造設定として大きいアパーチャを指定することができる。
逆に、図6に示すように、小さなアパーチャはより低い精度を有する構成要素を作成する(すなわち、作成された構成要素は、意図された設計からより大きい変動を有する)。したがって、小さなアパーチャはいくつかの実施態様において相対的に大きい寸法属性を備えた構成要素を作り出すためにだけ使用されるべきである。したがって、大きい寸法属性がICレイアウトのレイヤの要素に対して指定される場合、より大きい寸法のICの構成要素が設計のより大きい変動を許容することができるので、設計を理解した製造プロセスはリソグラフィ機械に対する可能な製造設定として小さなアパーチャを指定することができる。
光源305に対する別の可能な設定は、光源305がマスクを照明する時間の長さである。いくつかの実施態様において、この時間の長さは公称露光と称する。一般的に、マスク315上に照射される光がより多いほど、より少ない時間が、マスク315を光源305が照明するために必要とされる。特定の照明構成および/または設定に対して、ICの作成された構成要素の変動を最小限に抑える最適公称露光がある。いくつかの実施態様において、最適公称露光からより遠く離れて指定された公称露光は、最適公称露光により近い公称露光より低い精度を備えたICの小さい構成要素を作り出す。
いくつかの実施態様において、公称露光とアパーチャとの間の関係は反比例する。すなわち、アパーチャがより大きいほど、公称露光がより短く、そして、逆もまた同じである。
アパーチャおよび公称露光の異なる組合せを使用することに加えて、いくつかの実施態様はマスク315を異なる波長を有する光で照明することを指定することができる。図7−8に示すように、より短い波長はより長い波長より高い精度を有するより小さいIC構成要素を作り出す。したがって、小さい寸法属性がICレイアウトのレイヤの要素に対して指定される場合、設計を理解した製造プロセスは潜在的製造設定としてより短い光波長を指定することができる。大きい寸法属性がICレイアウトのレイヤの要素に対して指定される場合、設計を理解した製造は潜在的製造設定としてより長い光波長を指定することができる。
光源に対する露光および波長設定を指定することに加えて、ステッパレンズの設定(例えば種類、寸法)もまた、指定されることができる。いくつかの実施態様において、ステッパレンズの設定を指定することによって、製造プロセスがより高い精度を備えたICの特定の方向に沿ってIC構成要素を作り出すためにステッパレンズの能力を活用することができる。
図9Aおよび9Bは、異なるステッパレンズがどのように異なってICを作り出すことができるかについて示す。両方の図に示すように、垂直に位置合わせされたステッパレンズは、水平方向に沿ってより高い精度でIC構成要素を作り出し、一方、水平に位置合わせされたステッパレンズは、垂直方向に沿ってより高い精度でIC構成要素を作り出す。いくつかの実施態様において、45度に位置合わせされたステッパレンズは、135度方向に沿ってより高い精度でIC構成要素を作り出し、一方、135度に位置合わせされたステッパレンズが、45度方向に沿ってより高い精度でICの構成要素を作り出す。
図10は、別のステッパレンズの使用法を示す。具体的には、この図は、変更された環状レンズの使用法を示す。いくつかの実施態様において、変更された環状レンズは水平に位置合わせされたダイポールレンズに近い。しかし、変更された環状レンズは水平に位置合わせされたダイポールレンズほど効果的ではない。したがって、変更された環状レンズは水平に位置合わせされたダイポールレンズより垂直方向に沿ってより低い精度で小さい寸法属性を作り出す。「製造を理解した設計および設計を理解した製造」という名称の、2005年8月28日出願の米国特許出願第11/214,472号は、更に、他のステッパレンズ(例えば四極子ステッパレンズ)の使用を記載する。本出願は、参照によって以下に組み込まれる。
IC設計がレイヤの垂直方向に沿って小さい寸法属性を指定する場合、いくつかの実施態様は機械の水平に位置合わせされたステッパレンズの使用を指定する。加えて、IC設計がICレイアウトのレイヤの水平方向に沿って小さい寸法属性を指定する場合、いくつかの実施態様は機械の垂直に位置合わせされたステッパレンズの使用を指定する。
ステッパレンズのダイポール、環状、および他の特性(例えば四極子)は、これらのレンズに組み込まれることができるか、あるいは、上記の通りに、ステッパレンズの隣にダイポール、環状、および他のアパーチャ(例えば四極子)配置を備えたアパーチャマスクを配置することによって達成されることができる。代替法として、いくつかの実施態様は所望の光学的効果を達成するためにアパーチャマスクおよびステッパレンズ両方のポール配置を使用することができる。
照明構成および前述した作業のいくつかは、さまざまなステッパレンズを利用する。しかし、他の実施態様はリソグラフィプロセス207の間、照明作業215を実行する照明構成でステッパレンズを使用しない。
図11は、ステッパレンズを含まないこの種の構成の実施例を示す。この照明構成において、光源305は垂直の入射から離れて位置を変えられてはいない。このように、光源305光から来る光は、ほとんど垂直の入射で照射する。照明器から来る光がほとんど垂直の入射で照射する場合、光は垂直な角度でレンズの表面を照らす。この場合、レンズは集光レンズ310である。光がほとんど垂直の入射で照射し、かつ何のステッパレンズもこの照明構成に含まれない場合、照明作業215は一般的に軸上照明と称する。
上述の照明構成/設定は、各々の特定のレイヤに対して手動で設定されることができる。たとえば、特定のレイヤに対してマスクを照明する場合、技師は特定のレイヤに対する適切なステッパレンズを他の点では固定された照明構成内に挿入することができる。代替法として、照明構成は各々の特定のレイヤに対して自動的に設定されることができる。例えば、マスクを特定のレイヤに対して照明する場合、自動化された機械が、適切なステッパレンズを特定のレイヤに対して挿入することができる。ステッパレンズを構成することに加えて、あるいはその代わりに、いくつかの実施態様は、また、リソグラフィプロセスの他の製造設定、例えばアパーチャ、公称露光、波長、などを指定することができる。
いくつかの実施態様において、製造プロセス200はマスクを必要としない(すなわちマスクなし製造プロセス)。したがって、マスクが必要とされない場合、いくつかの実施態様において、製造プロセス200はマスク作成作業215を実行しない。遠紫外線リソグラフィ(「DUVL」)プロセスおよび極紫外線リソグラフィ(「EUVL」)プロセスは、マスクを必要としないであろう製造プロセスの実施例である。ウェーハを照明する光の波長が一般的に、IC構成要素の寸法より小さいので、これらの製造プロセスはマスクを必要としないであろう。
上記の記述において、製造設定は、ICレイアウトを規定するのに用いられるデザインルールの組に基づくように記載されている。しかし、実施態様によっては、デザインルールの同一の組が、ICレイアウトの異なるレイヤに対する設計特性を規定することができる(例えば、1つのレイヤが95%水平線であり、そして、別のレイヤが95%垂直線である)。この種の場合において、製造設定はいくつかの実施態様においてICレイアウトの設計特性の組に基づく。換言すれば、製造設定はいくつかの実施態様において機械に使用されるべき設定を決定するためにIC設計の最終結果に注目する。
さらに、各々が特定の機能を実行するさまざまな構成要素を参照することで、上述の照明構成は記載される。当業者は、いくつかの実施態様において、これらの構成要素のいくつかが交換されることができ、および/または、いくつかの機能が統合されることができる、ことを理解するであろう。例えば、ステッパレンズおよびアパーチャマスクによって提供される機能は、ステッパレンズおよびアパーチャマスクと同じ光学的効果を生じる回折格付け光デバイスによって、置換されることができる。加えて、これらのレンズのいくつかはミラーによって置換されることができ、あるいは、レンズおよびミラーの組合せが用いられることができる。
さらに、これらの構成要素の一部あるいは全て、およびそれらの機能は、1つの光デバイスとして実施されることができる。さらに、これらの構成要素は、機械の異なる順序で構成されることができる。
IV.製造を理解した設計
A.概要
いくつかの実施態様は、ICを設計して、製造するためのプロセスを提供する。いくつかの実施態様において、この設計プロセスは、製造を理解した設計プロセスである。図12は、製造を理解した設計プロセスを含むプロセス1200の実施例を概念的に示す。この図に示すように、ICレイアウトのレイヤはプロセス1200の間に(1205において)識別される。次に、一組の製造設定が(1210において)取り出される。いくつかの実施態様において、これらの設定は、データベースから取り出される。この製造設定は、ICがどのように製造されることができるかについて指定する。いくつかの実施態様において、この製造設定は製造技術、使用する光波長の種類、ステッパレンズの種類および/または寸法、照明器のアパーチャ、公称露光、などであることができる。いくつかの実施態様において、取り出された製造設定は設計されようとしているICレイアウトに基づいてICを製造するのに用いられようとしている設定である。この製造設定/構成は、いくつかの実施態様において、(1)実験的な結果、(2)理論的な能力および/または制約、(3)機械供給元/メーカ仕様および/または(4)その他の周知の方法に基づくことができる。
これらの取り出された製造設定に基づいて、一組のデザインルールが識別されたレイヤに対して(1215において)指定される。いくつかの実施態様において、この一組のデザインルールは、ICレイアウトの要素(例えばモジュール、ビア、コンタクト、ルート)がどのように特定のレイヤに対して設計されるかについて規定する。
いくつかの実施態様において、この一組のデザインルールを指定することは、ICレイアウトの少なくとも一つの特定のレイヤ上の異なる方向に沿ったルートに対する最小幅および/または最小間隔を規定することを含む。いくつかの実施態様において、この一組のルールを指定することは、ICレイアウトのモジュール、ビアおよび/またはコンタクトの最小寸法を指定することを含む。さらに、実施態様によっては、この仕様はICレイアウトの各々の特定のレイヤ上の異なる方向に沿ってルートの最小幅および/または最小間隔を選択することを伴う。いくつかの実施態様において、この一組のデザインルールを指定することは、ICレイアウトの一つ以上のレイヤ上の要素の密度を指定することを含む。
例えば、図5に示すように、製造設定が大きいアパーチャを指定する場合、この一組のデザインルールは要素に対する小さい寸法属性の使用を指定することができる。対照的に、製造設定が小さなアパーチャを指定する場合、図6に示すように、この一組のデザインルールは要素に対するより大きい寸法属性の使用を指定することができる。
加えて、図7および8に示すように、異なる光波長の使用はICレイアウトの要素に対する異なる寸法属性を指定することができる。具体的には、短波長が指定される場合、いくつかの実施態様は小さい寸法属性を指定することができ、一方、長波長が指定される場合、より大きい寸法属性を指定することができる。
同様に、水平に位置合わせされたステッパレンズが指定される場合、要素の小さい寸法属性がこの一組のデザインルールによって垂直方向に沿って指定されることができ、一方図9に示すように、より大きい寸法属性が水平方向に沿って指定されることができる。加えて、垂直に位置合わせされたステッパレンズが指定される場合、要素の小さい寸法属性がこの一組のデザインルールによって水平方向に沿って指定されることができ、一方、より大きい寸法属性が垂直方向に沿って指定されることができる。
いくつかの実施態様において、これらの組のデザインルールは一つ以上のデータ構造あるいはデータ記憶装置(例えばデザインファイル)内に保存される。この種の記憶装置の1つの例は、EDAツール、例えばプレイサおよびルーター用にルートの寸法属性(例えば幅、間隔)を記憶するライブラリ交換フォーマット/設計交換フォーマット(「LEF/DEF」)デザインファイルである。別の例は、デザインルール検査ツール(「DRC」)によってデザインルールチェック作業を実行するのに用いられることができるルールデックファイルである。記憶装置の別の例は、抽出作業中に用いられることができる、ルールデックファイル(例えば、回路図面対レイアウト(「LVS」)ファイル、寄生抽出(「RCX」)ファイル)である。この種のデザインファイルの使用は、図13を参照することで更に以下に記載される。
プロセス1200の次の作業は、識別する追加レイヤがあるかどうか、(1220において)判定することである。もしそうであれば、プロセス1200は別のレイヤを識別するために1205へ戻る。いくつかの実施態様がICレイアウトの各々のレイヤに対して異なる組のルールを指定する一方、他の実施態様は、ICレイアウトの一部あるいは全てのレイヤに対して一組のルールを指定することができる。
一旦何の追加レイヤもないことが(1220において)判定されたならば、プロセス1200の次の作業は設計作業1225である。設計作業1225は、1215において指定されたルールの組(例えばルートの最小幅および/または最小間隔)に基づいてICのレイアウトを設計することを伴う。上記したように、いくつかの実施態様は、ICレイアウトの少なくとも一つのレイヤに対してルートの指定された最小幅および/または最小間隔および/またはモジュールの寸法を指示する寸法属性を含む、少なくとも一つの設計ファイル(例えばLEF/DEFファイル、ルールデックファイル)に基づいて設計作業1225を実行するだろう。この設計作業1225は、図13を参照することで更に以下に記載される。
いくつかの実施態様において、検証作業1227が設計作業1225に続く。この作業の間、プロセス1200は設計ICが(工場による)製造プロセスの能力の範囲内で製造されることができるかどうか判定する。そうでない場合には、プロセスは1205へ進む。しかし、いくつかの実施態様において、プロセス1200はICレイアウトを再設計するために1225へ進むことができる。この再設計は、ICレイアウト全体、あるいはICレイアウトの一部(例えば1つのレイヤ)だけ、を再設計することを含むことができる。設計されたICが製造プロセスの能力の範囲内として検証された場合、プロセス1200が製造作業1230へ進んで、そして、終了する。
いくつかの実施態様において、製造作業1230は設計作業1225中に設計されたICレイアウトに基づいてICを製造することを伴う。製造作業1230は、ICを製造するために1210において受け取られた製造設定を使用する。いくつかの実施態様において、この製造作業は設計を理解した製造作業200である。
上記の設計および製造プロセス1200において、この設計プロセスは、この設計プロセスが製造設定/能力を考慮に入れるので、製造を理解した設計(「MAD」)プロセスと称される。
上記の設計および製造プロセスは、指定された製造設定を使用して記述する。しかし、ICを製造するために機械(例えばリソグラフィ機械)によって実際に使用される製造設定は、いくつかの実施態様において指定されるものとは異なることができる。
B.集積回路設計
図13は、ICを設計するためのプロセス1300を示す。この図に示すように、プロセス1300の初期の作業は合成作業1305である。この作業は、ICの論理表現に基づいてICの回路表現を作成する。論理表現は、ICの動作記述(すなわちICの機能(複数の機能)の記述)を提供し、一方回路表現はICの回路記述を提供する。回路記述は、一般的に回路要素、例えばセル、マクロ、ゲート、トランジスタおよびこれらの要素間の相互接続、に関して提供される。
図13において、更に示すように、プロセス1300の合成作業の後の作業は配置作業1310である。この配置作業は、ICの回路表現を幾何学的表現に変換する。この幾何学的表現は、レイアウトと呼ばれている。レイアウトは、合成作業の間、指定される回路要素を幾何学形状に変換することによって作成される。プレイサが、配置作業を実行する。具体的には、プレイサはレイアウト上の幾何学形状の位置(例えば回路モジュール幾何学形状)を識別する。いくつかの実施態様において、プレイサの目的は、特定の目的関数あるいは、例えば最小領域を占めるなどの、設計基準を考慮してレイアウト上の幾何学形状を配置することである。いくつかの実施態様において、プレイサは、ICの物理的設計に関する情報を含む、設計ファイル(例えばLEF/DEFファイル)に含まれる情報に基づいてこの作業を実行する。
図13において、更に示すように、プロセス1300における配置作業1310の後の作業は、全体的なルーティング作業1315である。プレイサがレイアウト上の幾何学形状を配置したあと、全体的なルーティング作業1315が実行される。ルーターが、全体的なルーティング作業1315を実行する。全体的なルーティング作業1315の間、ルーターはそこを通して配線が割り振られるべきルーティング間隔の異なる領域を指定する。いくつかの実施態様において、各々の配線あるいはピンの正確な幾何学的詳細を無視する一方、ルーターは回路のブロック間の接続を完了する。
図13に示すように、詳細なルーティング作業1320が全体的なルーティング作業1315に続く。ルーターは、また詳細なルーティング作業1320も実行する。いくつかの実施態様において、ルーターはレイアウト内のネットのルーティング可能な要素(例えばピン)を接続するルートを規定する。ルーターは、特定の目的関数あるいは設計基準、例えば最小化配線長、最小化輻輳、などを考慮してこの種のルートを規定する。前述のように、実施態様によっては、ルーターは設計ファイル(例えばLEF/DEFファイル)に含まれる情報に基づいてこの詳細なルーティング作業1320を実行する。
同じレイヤ上の異なる方向の異なる幅を備えたルートの生成を容易にするために、いくつかの実施態様は、特定のレイヤ上で利用可能なルーティング方向に基づいて特定のレイヤ上の相互接続線端部の形状(すなわちルートセグメント端部の形状)を最適に規定する。これらの形状をこうして規定することによって、これらの実施態様は、異なる幅を有するルートセグメントの位置合せを改善する。換言すれば、ルートがそれに沿って1つの幅からもう一方まで遷移する湾曲部において、相互接続線端部を動的に規定することが、ルートの形状を改善する。
また、特定のレイヤ上の異なる方向の異なる幅および/または間隔を備えたルートの生成を容易にするために、特定のレイヤ上の各々の利用可能なルーティング方向に対して、いくつかの実施態様は、特定のレイヤ上の前もって規定された幾何学形状(例えば前もって規定された障害、配線あるいはビアパッド)について、「ルーティング不可能な」膨張した領域を規定する。特定のルーティング方向に対するある項目の膨張した領域は、特定のルーティング方向に沿ってルートセグメントに利用可能でない特定のレイヤの部分を指定する。特定の方向に対するこの項目の膨張した領域は、特定のネットに対して特定の方向の項目と任意のルートセグメントとの間に必要とされる最小間隔に基づいて導き出される。
これらの実施態様は、次いでレイアウトのどの部分がルーティングに利用可能でないかを見つけ出すために膨張した領域を使用する。たとえばいくつかの実施態様は、ネットに対するルートを識別するために一つ以上の経路探索を実行する。これらの実施態様において、経路探索は経路拡張を反復して識別することによってグリッド点の源組からグリッド点の目標組までの経路を識別し、ここで、各々の拡張は、出発グリッド点から行き先グリッド点までである。特定の方向に沿って特定の開始点から特定の行き先点まで進む各々の経路拡張に対して、これらの実施態様は行き先点が特定の方向の膨張した領域に位置するかどうか判定する。もしそうであれば、行き先点は有効な行き先点でなく、および経路拡張は有効な拡張でない。
これおよび他のルーティング作業は、2002年8月26日出願の名称「同じレイヤ上の異なる方向に異なる幅を備えたルートを有するレイアウトおよびこの種のレイアウトを生成するための方法と装置」の米国特許出願第10/229,202号、および、2004年1月2日出願の名称「ルーティングのための方法と装置」の米国特許出願第10/751,332号、に詳細に記載されている。これらの特許出願は、ここにて参照により組み込まれる。
図13に示すように、デザインルールチェックおよび抽出−検証作業1325がプロセス1300の詳細なルーティング作業1320に続く。いくつかの実施態様において、デザインルールチェック作業がデザインルール検査ツール(「DRC」)によって実行される。DRCは、レイアウト上の全ての幾何学形状が特定のデザインルール(例えばルートの寸法属性)を満たすことを検証する。たとえば、DRCは、配線間の離隔距離が指定された設計と整合していることを検査することができる。DRCはまた、ルートの幅および/または間隔がデザインルールを満たすことを検査することができる。いくつかの実施態様において、これらのデザインルールは設計ファイル(例えばルールデックファイル)内に位置する。いくつかの実施態様において、これらのデザインルールはプロセス1200の(1210における)選択中に規定される。一度DRCが、全てのデザインルールが満たされることを検証したならば、いくつかの実施態様は、抵抗および容量情報をレイアウトから抽出し、そしてICの設計が特定の動作上の要件を満たすことを検証するために抽出された情報を使用する。抽出された情報は、それらを設計ファイル(例えばLVSファイル、RCXファイル)と比較することによって検証されることができる。いくつかの実施態様において、これらの要件は、性能目標および物理的な制約条件を含む。例えば、抽出される情報はレイアウトの機能を検証する(すなわち回路が設計されたように性能を発揮することを検証する)ために使用される。
いくつかの実施態様は、ICを設計するために、合成、配置、全体的なルーティング、詳細なルーティング、DRCおよび抽出−検証作業の何度かの反復を実行するだろう。これらの反復は、各々の作業の範囲内で、および/または異なる作業の間で実行されるであろう。これらの反復の間、さまざまな構成および設計が、最適IC設計を達成するために、合成、配置、全体的なルーティング、詳細なルーティング、DRCおよび抽出検証作業によって指定される。
V.コンピュータシステム
図14は、本発明のいくつかの実施態様が導入されるコンピュータシステムを概念的に示す。コンピュータシステム1400は、バス1405、プロセッサ1410、システムメモリ1415、読取り専用メモリ1420、パーマネントストレージデバイス1425、入力装置1430および出力装置1435を含む。
バス1405は、コンピュータシステム1400の内部デバイスの間の通信をサポートする全てのシステム、周辺装置、およびチップセットバスを集合的に示す。たとえば、バス1405はプロセッサ1410と読取り専用メモリ1420、システムメモリ1415およびパーマネントストレージデバイス1425とを通信で接続する。
これらのさまざまなメモリユニットから、プロセッサ1410は本発明のプロセスを実行するために、実行する命令および処理するデータを取り出す。読出し専用メモリ(「ROM」)1420は、コンピュータシステムのプロセッサ1410および他のモジュールによって必要とされる静的データおよび命令を記憶する。一方、パーマネントストレージデバイス1425は、読み書きメモリ装置である。このデバイスは、コンピュータシステム1400が切れている場合さえ、命令およびデータを記憶する不揮発性メモリ装置である。本発明のいくつかの実施態様は、パーマネントストレージデバイス1425として大容量記憶装置(例えば磁気あるいは光学ディスクおよびその対応するディスクドライブ)を使用する。他の実施態様は、パーマネントストレージデバイスとして着脱可能な記憶装置(例えばフロッピーディスクまたはzip(登録商標)ディスクおよびその対応するディスクドライブ)を使用する。
パーマネントストレージデバイス1425の様に、システムメモリ1415は読み書きメモリ装置である。しかし、記憶装置1425とは異なり、システムメモリは揮発性の読み書きメモリ、例えばランダムアクセスメモリである。システムメモリは、プロセッサが実行時に必要とするいくつかの命令およびデータを記憶する。いくつかの実施態様において、本発明のプロセスは、システムメモリ1415、パーマネントストレージデバイス1425および/または読取り専用メモリ1420内に記憶される。
バス1405は、入出力装置1430および1435にもまた接続する。入力装置は、ユーザーが情報を伝達して、コンピュータシステムに対するコマンドを選択することを可能にする。入力装置1430は、英数字キーボードおよびカーソルコントローラを含む。出力装置1435は、コンピュータシステムによって生成される画像を表示する。出力装置は、プリンタおよびディスプレイ装置、例えば陰極線管(「CRT」)あるいは液晶ディスプレイ(「LCD」)を含む。
最後に、図14に示すように、バス1405はまた、ネットワークアダプタ(図示せず)を介してコンピュータ1400をネットワーク1465に連結する。このように、このコンピュータはコンピュータのネットワークの一部(例えばローカルエリアネットワーク(「LAN」)、広域ネットワーク(「WAN」)あるいはイントラネット)またはネットワークのネットワーク(例えばインターネット)とすることができる。コンピュータシステム1400の構成要素のいずれかあるいは全てが、本発明と連動して使用されることができる。しかし、当業者は、その他のシステム構成もまた、本発明と連動して使用されることができることを理解するであろう。
本発明が数多くの具体的な詳細に関して記載されたが、当業者は、本発明が、本発明の趣旨から逸脱することなく、他のいろいろな形で実施されることができることを認識するであろう。例えば、実施態様によっては、製造設定に基づくルールの組は、作成されたマスクを修正するためにのみ用いられ、およびIC設計レイアウトそれ自体を修正するためには用いられない。換言すれば、ICレイアウトの設計は、この種の場合の製造設定を考慮に入れない。その代わりに、マスクがICレイアウトに基づいて作成される場合にのみ、製造設定が考慮に入れられる。この種の場合において、マスクおよびICレイアウトは、異なる属性によって規定される。さまざまな製造設定が記載されているが、当業者は、他の可能な設定が指定されることができることを理解するであろう。したがって、他の組のデザインルールが、ICレイアウトを設計するために使用されることができる。さらに、実施態様によっては、プロセスはMADおよびDAMプロセスの双方を含むことができる。したがって、当業者は、本発明が上記の例証となる詳細によって限定されるべきではなく、しかし、むしろ添付の特許請求の範囲によって規定されるべきであることを理解するであろう。
設計プロセスを含む流れ図を示すものである。 いくつかの実施態様がICを作成するために使用する設計を理解した製造プロセスを示すものである。 上に記述される製造プロセス中に照明作業を実行するために使用される照明構成の実施例を示すものである。 上に記述される製造プロセス中に照明作業を実行するために使用されるアパーチャマスクを伴う照明構成の実施例を示すものである。 ICの構成要素がアパーチャ設定の下でどのように製造されることができるかについて示す。 ICの構成要素が別のアパーチャ設定の下でどのように製造されることができるかについて示すものである。 より短い波長がどのようにより長い波長よりIC構成要素を確実に製造するかについて示すものである。 より長い波長がどのようにより短い波長よりIC構成要素を製造するかについて示すものである。 ステッパレンズがどのようにICを製造することができるかについて示すものである。 別のステッパレンズがどのようにICを製造することができるかについて示すものである。 ステッパレンズを含まない構成の実施例を示すものである。 製造を理解した設計プロセスを含むICを設計して、製造するためのプロセスを示すものである。 ICを設計するためのプロセス1300を示すものである。 本発明のいくつかの実施態様が実装されるコンピュータシステムを概念的に示すものである。
符号の説明
100 設計プロセスを含む流れ図 200 設計を理解したプロセス
305 光源 315 マスク 325 ウェーハ
405 アパーチャマスク 1200 製造を理解した設計プロセスを含むプロセス
1300 ICを設計するためのプロセス 1400 コンピュータシステム
1405 バス

Claims (23)

  1. 集積回路(「IC」)レイアウトを設計するための製造を理解したプロセスであって、前記プロセスが、
    a.前記ICレイアウトに基づいてICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を受けるステップと、
    b.前記指定された製造構成に基づいて一組のデザインルールを規定するステップと、
    c.前記一組のデザインルールを使用して前記ICレイアウトを設計するステップと、を含むプロセス。
  2. 前記一組の製造設定が、前記ICレイアウトの第1レイヤに対する指定されたダイポールレンズを備えることを特徴とする請求項1記載のプロセス。
  3. 前記一組のデザインルールを規定するステップが、前記第1レイヤの第1の方向に狭いルートおよび前記第1レイヤの第2の方向に広いルートを規定するステップを含むことを特徴とする請求項2記載のプロセス。
  4. 前記ダイポールレンズが、垂直に位置合わせされる2つのポールを備え、前記第1の方向が、水平方向であることを特徴とする請求項3記載のプロセス。
  5. 前記ダイポールレンズが、水平に位置合わせされる2つのポールを備え、前記第1の方向が、垂直方向であることを特徴とする請求項3記載のプロセス。
  6. 前記ダイポールレンズが、45°斜めに位置合わせされる2つのポールを備え、前記第1の方向が、135°斜めの方向であることを特徴とする請求項3記載のプロセス。
  7. 前記一組の製造設定が、前記ICレイアウトの第1のレイヤに対して指定された第1のダイポールレンズおよび第2のダイポールレンズを備えることを特徴とする請求項1記載のプロセス。
  8. 前記一組の製造設定が、照明器に対するアパーチャ設定を備えることを特徴とする請求項1記載のプロセス。
  9. 製造設定の組が、指定された公称露光を備えることを特徴とする請求項1記載のプロセス。
  10. 前記一組の製造設定が、照明器に対する指定された光波長を備えることを特徴とする請求項1記載のプロセス。
  11. 指定されたより短い光波長に基づく前記一組のデザインルールが、指定されたより長い光波長に基づく前記一組のデザインルールより、前記ICレイアウトの要素に対して、より小さい寸法属性を指定することを特徴とする請求項10記載のプロセス。
  12. 前記要素が、コンタクトであることを特徴とする請求項11記載のプロセス。
  13. 前記要素が、ルートであることを特徴とする請求項11記載のプロセス。
  14. 前記要素が、モジュールであることを特徴とする請求項11記載のプロセス。
  15. 指定されたより短い光波長に基づく前記一組のデザインルールが、指定されたより長い光波長に基づく前記一組のデザインルールより、より密度の高いICレイアウトを指定することを特徴とする請求項10記載のプロセス。
  16. 集積回路(「IC」)を製造するための、設計を理解したプロセスであって、前記プロセスが、
    a.関連した一組の設計プロパティを備えたIC設計を受けるステップと、
    b.前記ICを製造するために使用される一組の機械に対して一組の製造設定を指定する製造構成を指定するステップであって、前記指定された一組の製造設定が、前記一組の設計プロパティに基づく、ステップと、
    c.前記製造設定に基づいて前記ICを製造するステップと、を含むプロセス。
  17. 前記一組の設計プロパティが、前記ICレイアウトの特定のレイヤの一組の要素に対する特定の寸法属性を指定するための一組のデザインルールを含むことを特徴とする請求項16記載のプロセス。
  18. 前記一組の製造設定が、特定のアパーチャを指定することを特徴とする請求項17記載のプロセス。
  19. 前記一組の製造設定が、公称露光を指定することを特徴とする請求項18記載のプロセス。
  20. 前記一組の製造設定が、特定のステッパレンズを指定することを特徴とする請求項18記載のプロセス。
  21. 前記一組の設計プロパティが、前記設計の一組の特性を含むことを特徴とする請求項17記載のプロセス。
  22. 前記一組の設計特性が、前記ICレイアウトの特定のレイヤの一組の要素に対する特定の寸法属性を含むことを特徴とする請求項21記載のプロセス。
  23. 前記一組の設計特性が、特定のレイヤ上の特定の方向の特定の量の配線を含むことを特徴とする請求項21記載のプロセス。
JP2008512575A 2005-05-20 2006-05-20 製造を理解した設計および設計を理解した製造 Pending JP2008546005A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US68344005P 2005-05-20 2005-05-20
US11/214,472 US7395516B2 (en) 2005-05-20 2005-08-28 Manufacturing aware design and design aware manufacturing
PCT/US2006/019624 WO2006127538A2 (en) 2005-05-20 2006-05-20 Manufacturing aware design and design aware manufacturing
US11/419,495 US7712064B2 (en) 2005-05-20 2006-05-20 Manufacturing aware design of integrated circuit layouts

Publications (2)

Publication Number Publication Date
JP2008546005A true JP2008546005A (ja) 2008-12-18
JP2008546005A5 JP2008546005A5 (ja) 2009-11-19

Family

ID=37452664

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008512575A Pending JP2008546005A (ja) 2005-05-20 2006-05-20 製造を理解した設計および設計を理解した製造

Country Status (5)

Country Link
US (2) US7712064B2 (ja)
EP (1) EP1889195A4 (ja)
JP (1) JP2008546005A (ja)
CN (1) CN101228527B (ja)
WO (1) WO2006127538A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
CN101228527B (zh) 2005-05-20 2011-03-23 凯登斯设计***有限公司 有制造意识的ic设计处理和有设计意识的ic制造处理
US7797668B2 (en) * 2005-06-30 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for optimally converting a circuit design into a semiconductor device
US7546562B1 (en) 2005-11-12 2009-06-09 Cadence Design Systems, Inc. Physical integrated circuit design with uncertain design conditions
US7694546B2 (en) * 2005-11-17 2010-04-13 The Boeing Company Porosity reference standard utilizing one or more hollow, non-cylindrical shafts
US7752882B2 (en) * 2005-11-17 2010-07-13 The Boeing Company Porosity reference standard utilizing a mesh
US7762120B2 (en) * 2005-12-01 2010-07-27 The Boeing Company Tapered ultrasonic reference standard
US7577932B2 (en) * 2006-02-17 2009-08-18 Jean-Marie Brunet Gate modeling for semiconductor fabrication process effects
US7770457B2 (en) * 2006-10-13 2010-08-10 The Boeing Company Pseudo porosity reference standard for metallic interleaved composite laminates
US7617714B2 (en) * 2006-12-06 2009-11-17 The Boeing Company Pseudo porosity reference standard for cored composite laminates
US7757190B2 (en) * 2006-12-19 2010-07-13 Advanced Micro Devices, Inc. Design rules checking augmented with pattern matching
US7617715B2 (en) 2006-12-21 2009-11-17 The Boeing Company Reference standard for ultrasonic measurement of porosity and related method
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US8086991B1 (en) * 2007-07-25 2011-12-27 AWR Corporation Automatic creation of vias in electrical circuit design
EP2053528A1 (en) * 2007-10-26 2009-04-29 Interuniversitair Microelektronica Centrum Design optimisation by concurrent design and manufacturing technology tuning
US8029644B2 (en) * 2007-11-15 2011-10-04 The Beoing Company Controlled temperature scrap removal for tape process
JP2009158720A (ja) * 2007-12-26 2009-07-16 Canon Inc 露光装置及びデバイス製造方法
JP5252932B2 (ja) * 2008-01-18 2013-07-31 株式会社東芝 半導体装置の製造方法
US7937682B2 (en) * 2008-01-31 2011-05-03 Synopsys, Inc. Method and apparatus for automatic orientation optimization
US8136084B2 (en) * 2009-09-09 2012-03-13 International Business Machines Corporation Arranging through silicon vias in IC layout
US8225247B2 (en) * 2010-07-13 2012-07-17 Satish Padmanabhan Automatic optimal integrated circuit generator from algorithms and specification
US9406562B2 (en) 2011-01-13 2016-08-02 GlobalFoundries, Inc. Integrated circuit and design structure having reduced through silicon via-induced stress
US8984467B2 (en) 2011-08-17 2015-03-17 Synopsys, Inc. Method and apparatus for automatic relative placement generation for clock trees
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US9361417B2 (en) 2014-02-07 2016-06-07 Synopsys, Inc. Placement of single-bit and multi-bit flip-flops
KR102175464B1 (ko) 2014-04-08 2020-11-06 삼성전자주식회사 반도체 집적 회로
US10192018B1 (en) * 2016-03-31 2019-01-29 Cadence Design Systems, Inc. Method and system for implementing efficient trim data representation for an electronic design
CN109959855A (zh) * 2017-12-14 2019-07-02 炬芯(珠海)科技有限公司 一种集成电路连线可靠性检测方法及装置
US10943049B2 (en) 2018-09-28 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Rule check violation prediction systems and methods
CN111027275A (zh) * 2019-12-19 2020-04-17 北京华大九天软件有限公司 一种满足最小凹槽约束的引脚连接预处理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01173167A (ja) * 1987-12-28 1989-07-07 Dainippon Printing Co Ltd 半導体集積回路のマスクレイアウト設計方法
JP2000314954A (ja) * 1999-03-04 2000-11-14 Matsushita Electric Ind Co Ltd Lsi用パターンのレイアウト作成方法、lsi用パターンの形成方法及びlsi用マスクデータの作成方法
JP2003295413A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv クロムレス相リソグラフィのために半導体デバイス・パターンを相領域とクロム領域に分解するための方法および装置
JP2003303742A (ja) * 2002-04-11 2003-10-24 Toshiba Corp プロセスパラメータの作成方法、プロセスパラメータの作成システム及び半導体装置の製造方法
JP2004030579A (ja) * 2002-03-12 2004-01-29 Toshiba Corp デザインルールおよびプロセスパラメータの少なくとも一方を決定する方法、この決定方法を用いた半導体集積回路装置の製造方法、並びに、デザインルールおよびプロセスパラメータの少なくとも一方を決定するシステム
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
JP2005026701A (ja) * 2003-06-30 2005-01-27 Asml Masktools Bv デバイスレイアウトを用いるna−シグマ露光設定および散乱バーopcの同時最適化の方法、プログラム製品、および装置

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923562A (en) * 1996-10-18 1999-07-13 International Business Machines Corporation Method for automatically eliminating three way intersection design conflicts in phase edge, phase shift designs
JP3674209B2 (ja) * 1997-01-23 2005-07-20 ソニー株式会社 固体撮像装置及びその製造方法
JPH10282635A (ja) 1997-04-09 1998-10-23 Sony Corp パターンデータ補正方法、電子線描画方法、フォトマスク及びその作製方法、露光方法、半導体装置及びその製造方法、並びにパターンデータ補正装置
JPH1197545A (ja) * 1997-09-24 1999-04-09 Fujitsu Ltd 配線レイアウトの圧縮方法、自動配線レイアウト装置、記録媒体、半導体集積回路装置の製造方法及び半導体集積回路装置
US6303276B1 (en) * 1998-05-08 2001-10-16 Physical Optics Corporation Method and apparatus for making optical master surface diffusers suitable for producing large format optical components
US6192290B1 (en) * 1998-05-21 2001-02-20 Lucent Technologies Inc. System and method of manufacturing semicustom integrated circuits using reticle primitives from a library and interconnect reticles
US6301689B1 (en) 1998-09-28 2001-10-09 International Business Machines Corporation Spacing violation checker
US7065729B1 (en) * 1998-10-19 2006-06-20 Chapman David C Approach for routing an integrated circuit
US6466304B1 (en) * 1998-10-22 2002-10-15 Asm Lithography B.V. Illumination device for projection system and method for fabricating
US6691297B1 (en) * 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6269470B1 (en) * 1999-05-27 2001-07-31 Sun Microsystems, Inc. Efficient routing of conductors between datapaths
JP2001014376A (ja) * 1999-07-02 2001-01-19 Mitsubishi Electric Corp デザインルール生成システムおよびそのプログラムを記録した記録媒体
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
US6388736B1 (en) * 1999-11-15 2002-05-14 Asm Lithography B.V. Imaging method using phase boundary masking with modified illumination
US6789232B1 (en) 1999-11-30 2004-09-07 Synopsys, Inc. Construction of a technology library for use in an electronic design automation system that converts the technology library into non-linear, gain-based models for estimating circuit delay
US6516450B1 (en) * 2000-01-03 2003-02-04 Advanced Micro Devices, Inc. Variable design rule tool
US6915252B1 (en) * 2000-01-11 2005-07-05 Sun Microsystems, Inc. Method and system for ensuring consistency of design rule application in a CAD environment
US6885982B2 (en) 2000-06-27 2005-04-26 Fluidigm Corporation Object oriented microfluidic design method and system
WO2002000343A2 (en) 2000-06-27 2002-01-03 Fluidigm Corporation A microfluidic design automation method and system
JP3447673B2 (ja) * 2000-06-29 2003-09-16 Necエレクトロニクス株式会社 半導体装置の設計方法及び半導体装置の製造方法
JP3708877B2 (ja) * 2001-05-01 2005-10-19 松下電器産業株式会社 フォトマスク
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
JP2003142584A (ja) * 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
JP2003196341A (ja) 2001-12-25 2003-07-11 Nec Electronics Corp 半導体装置の設計方法
WO2003062923A1 (fr) * 2001-12-26 2003-07-31 Matsushita Electric Industrial Co., Ltd. Masque photolithographique, son procede de production et procede de formation de motif au moyen dudit masque
US6792587B2 (en) * 2002-01-28 2004-09-14 Sun Microsystems, Inc. 2.5-D graph for multi-layer routing
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7651821B2 (en) * 2002-03-04 2010-01-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
TWI252516B (en) * 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
CN100338528C (zh) * 2002-03-25 2007-09-19 Asml蒙片工具有限公司 利用双极照明进行基于规则的栅极缩小的方法和装置
TWI315027B (en) * 2002-04-23 2009-09-21 Canon Kabushiki Kaish Mask designing method, and exposure method for illuminatiing a mask and exposing an object
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP3731566B2 (ja) * 2002-06-28 2006-01-05 ソニー株式会社 露光方法、マスク製造方法および半導体装置の製造方法
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7197738B1 (en) * 2002-08-09 2007-03-27 Cadence Design Systems, Inc. Method and apparatus for routing
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US7241539B2 (en) * 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
US6804809B1 (en) * 2002-10-30 2004-10-12 Polarfab, Llc System and method for defining a semiconductor device layout
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
US7624367B2 (en) * 2002-11-18 2009-11-24 Cadence Design Systems, Inc. Method and system for routing
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
EP1467252A1 (en) * 2003-04-07 2004-10-13 ASML Netherlands B.V. Device manufacturing method and mask set for use in the method
US7000207B2 (en) * 2003-04-10 2006-02-14 Sioptical, Inc. Method of using a Manhattan layout to realize non-Manhattan shaped optical structures
US7000205B2 (en) * 2003-05-29 2006-02-14 International Business Machines Corporation Method, apparatus, and program for block-based static timing analysis with uncertainty
US7100134B2 (en) * 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
JP2005115785A (ja) * 2003-10-09 2005-04-28 Nec Electronics Corp 半導体装置の配線方法、半導体装置の製造方法及び半導体装置
US7096447B1 (en) * 2003-10-15 2006-08-22 Sun Microsystems, Inc. Method and apparatus for efficiently locating and automatically correcting certain violations in a complex existing circuit layout
JP4346410B2 (ja) * 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7241538B2 (en) * 2003-11-05 2007-07-10 Promos Technologies Method for providing representative features for use in inspection of photolithography mask and for use in inspection photo-lithographically developed and/or patterned wafer layers, and products of same
JP4585197B2 (ja) * 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
US7536660B2 (en) * 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
JP4305847B2 (ja) * 2004-03-26 2009-07-29 富士通株式会社 店舗決済方法、システム及びプログラム
US7269804B2 (en) 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
WO2005111874A2 (en) * 2004-05-07 2005-11-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US20060063076A1 (en) * 2004-09-20 2006-03-23 John Jensen Pseudo low volume reticle (PLVR) design for asic manufacturing
US7284214B2 (en) * 2004-10-22 2007-10-16 Mentor Graphics Corporation In-line XOR checking of master cells during integrated circuit design rule checking
US7814456B2 (en) 2004-11-22 2010-10-12 Tela Innovations, Inc. Method and system for topography-aware reticle enhancement
DE602006014319D1 (de) * 2005-04-12 2010-07-01 Asml Masktools Bv Verfahren und Programmprodukt zur Durchführung von Doppelbelichtungslithografie
JP2006318978A (ja) 2005-05-10 2006-11-24 Toshiba Corp パターン設計方法
US7395516B2 (en) 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
CN101228527B (zh) 2005-05-20 2011-03-23 凯登斯设计***有限公司 有制造意识的ic设计处理和有设计意识的ic制造处理
US7673260B2 (en) * 2005-10-24 2010-03-02 Cadence Design Systems, Inc. Modeling device variations in integrated circuit design
US7546562B1 (en) * 2005-11-12 2009-06-09 Cadence Design Systems, Inc. Physical integrated circuit design with uncertain design conditions
US7627847B1 (en) 2005-12-01 2009-12-01 Cadence Design Systems, Inc. Method and system for representing manufacturing and lithography information for IC routing
US7503028B2 (en) 2006-01-10 2009-03-10 International Business Machines Corporation Multilayer OPC for design aware manufacturing
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7799486B2 (en) * 2006-11-21 2010-09-21 Infineon Technologies Ag Lithography masks and methods of manufacture thereof
US7823099B2 (en) * 2007-05-31 2010-10-26 Synopsys, Inc. Lithography suspect spot location and scoring system
US8713483B2 (en) * 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US8245174B2 (en) * 2009-07-23 2012-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning friendly lithography method and system
US8239806B2 (en) * 2009-11-17 2012-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Routing system and method for double patterning technology

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01173167A (ja) * 1987-12-28 1989-07-07 Dainippon Printing Co Ltd 半導体集積回路のマスクレイアウト設計方法
JP2000314954A (ja) * 1999-03-04 2000-11-14 Matsushita Electric Ind Co Ltd Lsi用パターンのレイアウト作成方法、lsi用パターンの形成方法及びlsi用マスクデータの作成方法
JP2004030579A (ja) * 2002-03-12 2004-01-29 Toshiba Corp デザインルールおよびプロセスパラメータの少なくとも一方を決定する方法、この決定方法を用いた半導体集積回路装置の製造方法、並びに、デザインルールおよびプロセスパラメータの少なくとも一方を決定するシステム
JP2003295413A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv クロムレス相リソグラフィのために半導体デバイス・パターンを相領域とクロム領域に分解するための方法および装置
JP2003303742A (ja) * 2002-04-11 2003-10-24 Toshiba Corp プロセスパラメータの作成方法、プロセスパラメータの作成システム及び半導体装置の製造方法
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
JP2005026701A (ja) * 2003-06-30 2005-01-27 Asml Masktools Bv デバイスレイアウトを用いるna−シグマ露光設定および散乱バーopcの同時最適化の方法、プログラム製品、および装置

Also Published As

Publication number Publication date
US20100180247A1 (en) 2010-07-15
EP1889195A4 (en) 2012-09-12
EP1889195A2 (en) 2008-02-20
CN101228527B (zh) 2011-03-23
US8713484B2 (en) 2014-04-29
US20060265679A1 (en) 2006-11-23
WO2006127538A3 (en) 2007-04-05
US7712064B2 (en) 2010-05-04
WO2006127538A2 (en) 2006-11-30
CN101228527A (zh) 2008-07-23

Similar Documents

Publication Publication Date Title
US8713484B2 (en) Aware manufacturing of integrated circuits
US7395516B2 (en) Manufacturing aware design and design aware manufacturing
TWI775000B (zh) 產生積體電路佈局圖的方法及用於處理積體電路佈局圖的系統
CN109585371B (zh) 集成电路布局方法、结构和***
US8977991B2 (en) Method and system for replacing a pattern in a layout
US8640080B1 (en) Method and system for visualizing pin access locations
CN111128998A (zh) 集成电路布局方法
JP2005181523A (ja) 設計パターン補正方法、マスクパターン作成方法、半導体装置の製造方法、設計パターン補正システム、及び設計パターン補正プログラム
CN110729264B (zh) 集成电路结构、布局图方法和***
CN111199915A (zh) 制造半导体元件的方法
US11853681B2 (en) Post-routing congestion optimization
JP2000314954A (ja) Lsi用パターンのレイアウト作成方法、lsi用パターンの形成方法及びlsi用マスクデータの作成方法
US20210313268A1 (en) Advanced node interconnect routing methodology
CN114201939A (zh) 用于修复布局违规的方法和***
JP3827659B2 (ja) Lsi用マスクデータの作成方法及びlsi用パターンの形成方法
US7263677B1 (en) Method and apparatus for creating efficient vias between metal layers in semiconductor designs and layouts
CN110968981B (zh) 集成电路布局图生成方法和***
US7926005B1 (en) Pattern-driven routing
US7721235B1 (en) Method and system for implementing edge optimization on an integrated circuit design
US20230342532A1 (en) Method, non-transitory computer-readable medium, and apparatus for arranging electrical components within a semiconductor device
US20230244845A1 (en) Method, non-transitory computer-readable medium, and apparatus for arranging electrical components within a semiconductor device
Xiang et al. OPC-friendly bus driven floorplanning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110914

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20111121

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120820

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130204