JP2007531280A - 最少スカラップ基板の処理方法 - Google Patents

最少スカラップ基板の処理方法 Download PDF

Info

Publication number
JP2007531280A
JP2007531280A JP2007505106A JP2007505106A JP2007531280A JP 2007531280 A JP2007531280 A JP 2007531280A JP 2007505106 A JP2007505106 A JP 2007505106A JP 2007505106 A JP2007505106 A JP 2007505106A JP 2007531280 A JP2007531280 A JP 2007531280A
Authority
JP
Japan
Prior art keywords
etching
pressure
gas
plasma
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007505106A
Other languages
English (en)
Inventor
パンドームソポーン,タマラク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007531280A publication Critical patent/JP2007531280A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決課題】本発明は、最少スカラップ基板処理方法に関する。
【解決手段】基板を少スカラップで処理することによって耐久性と品質が改善される。ポリマー蒸着ステップと基板エッチングステップとをあらゆる順序で交互に実施することで、エッチングマスクを通して層に特徴部をエッチング加工する。さらに、プロセスステップ間のプロセスガス圧は実質的に等しくてもよい。また、基板処理全体を通じて継続プラズマ流が維持される。また、プロセスガスが250ミリ秒以下で切り替えて、1体の質量流制御バルブによってプロセスガスをコントロールできる。
【選択図】図5

Description

本発明は、制御された処理条件下にて、マスクで定義された構造をプラズマエッチングすることで半導体ウェハー上に特徴部を提供する方法と装置とに関する。特に、本発明はプラズマエッチング中のスカラップを減少させる方法と装置とに関する。
シリコン及びポリシリコンの膜(フィルム)材料の異方性エッチングのための多様な方法が開示されている。それらには、作動エッチング、反応性イオンエッチング(RIE)、三極管エッチング、マイクロウェーブエッチング、誘導結合カップリングプラズマソースエッチング、等々が含まれる。一般的にエッチングとは所望のパターンあるいは特徴部を、基板の一部を選択的に除去することで基板に転写するプロセスである。基板エッチングは化学エッチングあるいは物理エッチングのいずれかで達成できる。プラズマエッチングは荷電粒子を含んだ化学反応及び/又は物理的エネルギーを有した物質を利用することで達成される。すなわち、イオン及び他の粒子が単種ガスまたは複数種ガスの混合ガスと組み合わされて真空チャンバー内で発生される。基板のエッチングのため、陽イオンまたは他の荷電粒子はバイアス電圧によって基板に向かって加速される。
基板エッチングは基板上で異方性または等方性の特徴を示すことができる。高エネルギー電流テントで増強された方向性イオンは、ポリマー側壁保護と共に、基板上でさらに異方性が強いエッチング特性を提供するであろう。さらにプラズマ状態でのガスイオン化は一般的にプラズマエッチング中に存在する相当量の入射イオンが介在する。入射イオンは、ほぼ同等に全方向にエッチングするという特徴を有した等方性エッチングに関与する。
所望パターンのネガ画像であるマスクが基板に被せられ、エッチングによって除去される領域を区画する。マスキングは公知のどのような方法によっても達成できる。例えば、ハードマスキング方式、レジストマスキング方式、あるいは酸化物マスキング方式である。ハードマスクはいかなる数の材料でも含むことができる。例えば、二酸化ケイ素、窒化ケイ素、及び炭化ケイ素のごとき誘電材料や、アルミニウムのごとき金属材料である。ポジ型及びネガ型レジストマスクが結晶シリコン、ポリシリコン、及び非結晶シリコンのエッチングに利用できる。特に、最大基板エッチング速度を達成しながら最少マスク侵食を許容するのに適したエッチングガスを選択する際にはマスク侵食特性が考慮されなければならない。
例示的なエッチング特徴は図1Aから図1Cで図示されている。図1Aから図1Cは基板上でパターン化されたマスク材料を有した従来式基板エッチング部の断面図を図示する。図1Aではマスク104を有した基板108の断面が図示されている。図は本発明の説明のために概略化されている。この例では、いかなる数の公知基板材料並びにいかなる数の公知マスク材料でも利用が可能である。図1Bにはエッチングプロセスの例示的中間ステップが図示されている。本例では、基板108はエッチング完了あるいは部分的にエッチングされたものである。指向性イオン112は大部分のエッチングパターンと方向性に関係する。典型的には、指向イオン112は基板に対して実質的に垂直に基板をエッチングする。上述したように、この特性は一般的に異方性エッチングとして知られる。さらに、上述したように、入射イオン116は相当濃度でイオン化ガス内に存在でき、部分的に等方性エッチングに関与する。これら入射イオンは基板を非垂直方向で打撃し、スカラップ118で表されるような側壁侵食を提供する。図1Cは、マスク層が基板から剥離された後に従来方法でエッチングした基板の一部を例示的に図示する。
別例では、塩素ガスでの低フォトレジストマスク選択性がシリコンエッチングで観察されている。マスク侵食には一般的にいくつかの要因に関与する。例えば、ガスタイプ、イオンその他のエチャント粒子の反応度、温度、及び圧力、等々である。フッ化水素を含んだガス混合物はマスク侵食を低減させ、良好な側壁保護を提供するであろう。側壁保護を提供するポリマーあるいはパッシベーション層被膜は酸素または窒素と共にエチャントガスSF6を使用して研究されたが一定の限界があった。表面に提供されたSiOXまたはSiNX層で形成された誘電層は一般的に原子厚層であり、全表面を良好には被膜しない。この限界はこのプロセスの制御をさらに困難なものとしている。塩素、臭素及びヨウ素ガスは、水素を含まないフッ素ガスと比較したとき一般的に遅いエッチング速度を提供し、フッ素ガスよりも少ない横方向エッチングをも提供する。これらガスの混合物は試験され、多様な程度の異方性エッチング効果を提供した。
エッチ特徴部の側壁に沿ったスカラップは研究が進んでいる分野である。スカラップにより、エッチングされた特徴部の側壁は平滑及び/又は直線状ではなくスカラップ外観を提供する。そのようなスカラップは装置の電気的及び/又は物理的特徴に不都合に作用する。他の利点と共に、以下の本発明の実施例ではこのスカラップの問題に対処する。
前述に鑑み、少ないスカラップでの基板の処理方法が提供される。
本発明は少スカラップでの基板の処理方法を提供する。基板を少スカラップで処理することによって耐久性と品質が改善される。
本発明の1実施例はエッチングマスクを通して層に特徴部をエッチング加工する方法を提供する。この方法は、第1圧力でポリマー蒸着(被膜加工)ガスを提供するステップと、そのポリマー蒸着ガスで第1プラズマを形成するステップと、エッチングマスクと層の全露出表面にパッシベーション層を形成するステップとを含んでいる。さらにこの方法は第2圧力でエッチングガスを提供するステップと、そのエッチングガスで第2プラズマを形成するステップと、エッチングマスクで定義された特徴部を所定のエッチング速度で層内にエッチング加工するステップとを含んでいる。その方法はさらに、コントロールバルブを提供してポリマー蒸着ガスとエッチングガスとを選択された時間パラメータ内に切り替え、第1圧力と第2圧力とを実質的に等しくし、ポリマー蒸着及び基板エッチングを所望の特徴部が提供されるまで反復するステップを含んでいる。
実施例によっては、圧力は相互間で10%以内に維持される。他の実施例では、圧力は実質的に等しい。好適実施例では、圧力5から300mTorrであり、さらに他の実施例では約50mTorrに維持される。
実施例によっては、継続プラズマフィールドが維持される。他の実施例ではプロセスガスの切り替えは約250ミリ秒以下で行われる。
本発明の別実施例では、層にエッチングマスクを介して特徴部をエッチング加工する方法が提供される。この方法は、第1圧力でエッチングガスを提供するステップと、そのエッチングガスから第1プラズマを形成するステップと、所定のエッチング速度でエッチングマスクによって定義される特徴部を層にエッチングするステップとを含んでいる。この方法はさらに、第2圧力でポリマー蒸着ガスを提供するステップと、ポリマー蒸着ガスで第2プラズマを形成するステップと、エッチングマスクと層の全露出面にパッシベーション層を形成するステップとを含んでいる。方法はさらに、エッチングガスとポリマー蒸着ガスとを選択された時間パラメータ内に切り替えるようにコントロールバルブを提供して第1圧力と第2圧力とを実質的に等しくし、基板エッチングとポリマー蒸着とを所望の特徴部が提供されるまで反復させるステップを含んでいる。
実施例によっては、プロセス圧力は相互の10%以内に維持される。また実施例によっては、圧力は実質的に等しい。好適実施例においては、圧力は5から300mTorrであり、他の実施例では約50mTprrに維持される。
実施例によっては、継続プラズマフィールドが維持される。別実施例ではガス切り替えは約250ミリ秒以下で実施される。
本発明の方法はエッチングされた基板の側壁の状態に利点を提供する。特に、結晶シリコン、エピタキシャルシリコン、ポリシリコン、非結晶シリコン、及び他の層のエッチング加工時のスカラップを最少化する。
方法:最良プロセスパラメータの決定
一般的に、エッチングプロセス全体では蒸着とサブエッチングプロセスの複数のサイクル(例えば、何十、何百、それ以上のサイクル)が関与する。蒸着とサブエッチングプロセスとの間の迅速な切り替えは、得られるエッチング状態にスカラップを皆無とし、あるいは大きく減少させることに貢献すると考えられる。さらに、エッチングプロセスを簡略化し、サブエッチングプロセス並びにサブ蒸着プロセス中のチャンバー圧力を実質的に同一または可能な限り接近したものとすることで、得られるエッチング状態からスカラップを無くし、あるいは大幅に減らすことができる。
以下の例では、カルフォルニア州フレモントのラムリサーチコーポレーションのTCP9400(登録商標)PTXプラズマ処理タイプシステムが利用される。本発明は前述の方法を達成するためにコンパチブルな装置の使用を想定する。この方法は基板上のシリコン層に良好なエッチングを提供し、比較的に高い生産効率を低コストで提供する。
図2は本発明の1実施例による基板の最良エッチング速度を決定する例示的プロセスフロー図である。図3Aから図3Fは本発明の1実施例による基板エッチング部の断面図であり、図2との組み合わせで説明する。図2によれば、フォトレジストマスク304と基板308とを含む少なくとも1枚のウェハー300が処理され、伝統的な要求(例えば、低コストでの充分なエッチング部)を満足させるだけでなく、相互に接近した(好適には、可能な限る接近し、最良には実質的同一)のポリマーサブ蒸着プロセス圧力とサブエッチングプロセス圧力とを提供するポリマーのサブ蒸着プロセス及びサブエッチングプロセスのため工場環境における最良の制御パラメータを決定する。ポリマーサブ蒸着プロセス圧力とサブエッチングプロセス圧力とを互いに接近させる追加的な要求はどこかでの妥協が必要であろうが、そのようなアプローチは有効であると考えられる。1実施例においては、そのようなアプローチは非常に有利なエッチング状態を提供する。特に、細い特徴部が関与する深いエッチング部に対するスカラップを回避する能力に関して有利なエッチングプロセスを提供する。
理論により拘束されることを望まないが、サブプロセス間の圧力差はしばしば不利な時間要因となると考えられる。すなわち、それぞれのプロセス状態をカリブレーションするのに必要な時間のためにプロセス速度全体を減少させる。さらに、サブプロセス間の圧力差はエッチング状態の異方性度をさらに低減させる。これは一般的に望ましくない。
P1とP2の加工圧力はそのようにステップ202で提供される。圧力P1はパッシベーション層のポリマー蒸着が実施される圧力を表す(ステップ208参照)。同様に、P2はエッチング加工が施される圧力を表す(ステップ210参照)。全実施例で加工圧力P1とP2は実質的に同一である。すなわち、1実施例においては圧力P1とP2は相互に10%以内である。別実施例では圧力P1とP2は相互に5%以内である。さらに別実施例では圧力P1とP2は相互に1%以内である。また別実施例では圧力P1とP2は実質的に等しい。さらに圧力P1とP2が実質的に等しい限り、いかなる数の加工圧力であっても利用できる。よって、加工圧力は数ミリトール(mTorr)から数百mTorrまで可能である。
加工圧力P1とP2がステップ202で選択された後、プロセスパラメータセットはステップ204で提供される。典型的にはプロセス技術者は、製造コストを最小限にして、満足できる結果を提供するメニュー(例えば、装置製造業者により定められたエッチング状態)を取得するために工場環境のプロセスパラメータの異なる組み合わせを採用する。典型的には、このプロセスには、プロセス時間、維持/クリーニング負担、機械損傷、等々を可能な限り少なくし、満足できるエッチング部を提供するために工場環境でプロセスパラメータ(温度、ガス流量、最大パワー、最低パワー、バイアス電圧、ヘリウム冷却流量、等々)を変動させるプロセスウィンドー内のエッチングメニューの選択が関与する。同様に、ポリマーサブ蒸着プロセスは、満足できるエッチング部を提供するようにプロセスパラメータ(温度、ガス流量、最大パワー、最低パワー、バイアス電圧、ヘリウム冷却流量、等々)が変動されるプロセスウィンドー内で実施できる。
プロセスパラメータが確立されると、マスク304を有した基板308を含んだウェハー300(図3)がステップ206でプラズマチャンバー500(図5)内に置かれる。前述のように、いかなる数の公知基板(例えば、シリコン、ポリシリコン、あるいは非結晶シリコン膜)でも利用できる。さらに、いかなる数の公知マスク(例えば、ハードマスク、レジストマスク、酸化物マスク)でも利用できる。マスクの目的はプロセスチャンバー内で発生するイオン流に対してバリヤを提供することである。マスクは下側の基板の選択的エッチングを実施する。図3Aはステップ204でプロセスチャンバー500内に置かれた基板308とマスク304(図5)を含んだウェハー300を図示する。
例示的なプロセスチャンバー500は図5で図示されており、以下でさらに詳細に説明する。説明のため、プロセスチャンバー500は1体のチャンバーを含むものとしてある。複数のチャンバーでも構わない。ウェハー300をどのような公知方法でプロセスチャンバー500内に固定しても構わない。例えば、真空式チャック及び/又は静電チャックを利用してもよい。1実施例では、ウェハー300は、熱伝導媒体として作用する後部ヘリウムガスを提供した底部電極面に置かれる。冷却は温度を凝結点以上に維持する再循環冷却器手段で達成される。典型的には、設定温度は約15℃でよい。ウェハー300はポリマー蒸着ステップを妨害しないように冷却される。
以下の2ステップ(208と210)は、基板のエッチング(サブプロセス)と交互にパッシベーション層を提供するポリマー蒸着(サブプロセス)で定義される循環プロセスである。ここで解説するプロセスはステップ208から210のいかなる順序であってもよい。ステップ208では、例えばオクトフルオロシクロブタン(C48)を使用したポリマー蒸着(サブプロセス)が図3Bと図3Dで図示されている。C48ガス流はポリマー蒸着ステップでは30標準立方センチ/分(sccm)から200sccmにセットできる。C48ガスの当初ポリマー蒸着圧力は確立され、ガス流量はセットされたバルブポジションを有したスロットルバルブでコントロールされる。図3Bで図示するように、パッシベーション層312はマスク304と基板308の層の露出面上に形成される。図3Dはエッチングステップに引き続いてエッチング溝316の側壁318上に形成されたパッシベーション層312を図示する。パッシベーション層312の1つの目的は、エッチングステップ中にマスク304と側壁318の保護を提供することである。
エッチングステップ210の結果は図3Cと図3Eで図示されている。スルファヘキサフルオリド(SF6)を使用したシリコンエッチングステップ(サブプロセス)が蒸着ステップ(サブプロセス)前あるいは後に実施される。SF6ガス流はエッチングステップ用に30sccmから300sccmにセットできる。SF6ガスの当初エッチング圧が確立され、ガス流量が所定のバルブポジションを有したスロットルバルブでコントロールされる(コンピュータ制御モジュール使用)。蒸着とエッチングプロセスの圧力は、同一所定バルブポジションあるいは異なるが実質的には類似した所定バルブポジションでセットできる。さらに、蒸着ステップとエッチングステップのオーバーラップ時間は、所定の蒸着及びエッチング時間の各サイクル後に開始するように数秒から約20秒まで間でセットできる。このオーバーラップ時間は個別のステップでもセットできる。図3Cは循環型エッチングステップ210で得られたエッチング溝316を図示する。ステップ208で形成されたパッシベーション層312の一部はエッチング加工時に除去される。好適実施例では、ポリマー蒸着ステップ208でマスク304上に形成されたパッシベーション層312の一部はマスク304上に残る。図3Cで示すように、マスク304は循環エッチングステップ210中にパッシベーション層312によって侵食から保護される。図3Eは循環プロセスのさらなるエッチングステップ210を図示する。
エッチングステップ210が完了すると、ステップ212でさらなるエッチングが必要かどうかを決定する。この決定はいかなる数のユーザー選択パラメータ(例えば、所望のエッチング深度)に基づくこともできる。あるいは、他のエンドポイント技術に対応してもよい。もし、さらなるエッチングが必要であれば、プロセスはステップ208に戻り、エッチングがそれ以上は不要になるまでサイクルを継続する。本例では、蒸着ステップとエッチングステップのために発生されたプラズマフィールドは蒸着ステップとエッチングステップを通じて維持される。さらに、実施例によっては、蒸着ステップとエッチングステップとの間のガス切り替えは質量流制御バルブ(MFCバルブ)でコントロールされる。2ステップ間の切り替え時間間隔は好適には250ミリ秒以下である。MFCバルブは、実施例によっては1種のガスのみがプロセスチャンバーに一時に供給されるように2つの循環ステップに対応してガスを同時進行的に制御する。
このプロセスは、現行圧力P1とP2のために別のプロセスパラメータセットを発生すべきか否かを決定するステップ212で終了する。もし、別プロセスパラメータセットが所望されれば、この方法はステップ204に戻り、新プロセスパラメータセットを提供する(現行圧力P1とP2は維持)。方法は前述のステップを継続する。1実施例においては、実質的同一形状と同一構成を有したウェハーをチャンバー内に置くことができる。このように、最良プロセスパラメータセットを決定するためにプロセスプロフィールを記録し、分析することができる。別実施例では、異なる構成及び/又は形状を有したウェハーは同一または異なるプロセスパラメータセットを使用したチャンバー内に置かれる。全部のプロセスパラメータセットが利用されると、方法はステップ216に進む。そこで別セットの加工圧力P1とP2が検討されるべきかを決定する。上述したように加工圧力P1とP2は実質的に類似しているが、数mTorrから数百mTorrまでの幅があっても構わない。方法はこれで終了する。
例えば、所定のウェハー構造のための最良エッチングを決定する方法は次の通りである。

1.P1=50mTorr、P2は実質的にP1に等しい
a.プロセスパラメータセット1.1
i.蒸着/エッチングサイクル
b.プロセスパラメータセット1.2
i.蒸着/エッチングサイクル
c.プロセスパラメータセット1.3
i.蒸着/エッチングサイクル

2.P1=100mTorr、P2は実質的にP1に等しい
d.プロセスパラメータセット1.1
i.蒸着/エッチングサイクル
e.プロセスパラメータセット1.2
i.蒸着/エッチングサイクル
f.プロセスパラメータセット1.3
i.蒸着/エッチングサイクル

3.P1=XmTorr、P2は実質的にP1に等しい
g.プロセスパラメータセット3.1
i.蒸着/エッチングサイクル
上記の例から理解されようが、この反復プロセスは全プロセスパラメータセットと全圧力が試験されるまで無限に継続できる。結果は所定の製造基準で最良のエッチングプロセスを決定するように分析できるデータを提供する。
方法:選択プロセスパラメータ使用
以下の説明は例示的プラズマプロセスシステムで例示的メニューを使用した例示的エッチングを解説するものである。全てのエッチングメニューがこれら全ステップを必要とするわけではない。他のメニューでは、追加の従来ステップを利用することができる。
本発明は数々の特定のコントロールパラメータを考慮してエッチング速度、エッチングプロフィール、及びエッチング達成度を最良化させる。例えば、蒸着ステップとエッチングステップの全体を通じたチャンバー圧力は相対的に可能な限り接近した状態に維持される。すなわち、選択された加工圧力に関しては、蒸着ステップ加工圧力とエッチングステップ加工圧力との間の差は最少に保たれることが望ましい。システムは従来のシステムの如く平衡を保つために待機時間間隔を必要としないため、蒸着/エッチングサイクル全体を通じて一定の加工圧力を維持することで製造時間を減少させることができる。1実施例では、蒸着及びエッチングプロセス圧力は約50mTorrに維持される。加工圧力範囲は数mTorrから数百mTorrまででよい。
さらに、例えば蒸着ステップ及びエッチングステップ全体を通じてプラズマフィールドの維持も望ましい。プラズマフィールドを維持するため、システムはチャンバー圧力及びガス体積に関して可能な限り平衡状態に保たれなければならない。システムは従来システムの如くは平衡を保つために待機時間間隔を必要としないため、蒸着/エッチングサイクル全体を通じてプラズマフィールドを維持することでプロセス時間を減少させることができる。この実施例ではTCP(トランス結合プラズマ)プラズマソースを利用している。しかしながら、ICP(誘導結合プラズマ)、ECR(電子サイクロトロン共鳴)、RIE(反応性イオンエッチング)等の他のソースも本発明の範囲から逸脱することなく利用できる。
図4は本発明の1実施例による、基板の最良エッチングのためのプロセスフローチャートである。図4のプロセスは製造環境で実施できる。ステップ402で、P1とP2の加工圧力が提供される。一般的に、これらのプロセス圧力は、例えば図2で示すプロセスを用いて予め決定される。説明のため、1実施例では、50mTorrの圧力が上述の如く設定される。圧力はコントローラー535(図5)によって維持される。コントローラー535とその関連構造及び機能は図5に関してさらに詳細に説明する。
プロセスパラメータはステップ404で提供される。このように実施例ではC48ガスを蒸着に使用されている。蒸着ガスからのプラズマは、ガスを上部TCPプラズマソースと底部電極から約13.56MHzの無線周波数に曝露することで発生する。蒸着中、TCP(上部)電力は約400Wに維持され、バイアス電圧は約50Vに維持される。上部TCPプラズマソースと底部電極から約13.56MHzの無線周波数によってフッ素イオン基を放出することで、SF6ガスをエッチングに使用することができる。エッチング中、TCP(上部)電力は約400Wに維持され、バイアス電圧は約100Vに維持される。実施例によっては、エッチング及びポリマー蒸着中、SF6とC48ガスの両方共とはアルゴンガスは導入されない。上述の如く、プラズマ状態でのガスイオン化は一般的にはプラズマエッチング中に存在する無視できない量の入射イオンを含んでいる。これらのイオンは側壁を打撃することがあり、パッシベーション層の一部を除去するか、側壁をアンダーカットしてスカラッププロフィールを提供する。よって1好適実施例では、それぞれの蒸着及びエッチングステップの持続時間は約12秒以下に維持されるであろう。その他のプロセスパラメータは上述の最良方法で決定できる。
エッチング/蒸着サイクル408/410は実質的には図2で説明したエッチング/蒸着サイクル208/210と同様に進行する。このように、ステップ408の結果、例えばC48を使用したポリマー蒸着(サブプロセス)が図3B及び図3Dに図示されている。C48ガス流はポリマー蒸着ステップでは30sccmから200sccmにセットできる。C48ガスの当初ポリマー蒸着圧力は確立され、ガス流量はセットされたバルブポジションを有したスロットルバルブでコントロールされる。図3Bで図示するように、パッシベーション層312はマスク304と基板308の層の露出面上に形成される。図3Dは、エッチングステップに引き続いてエッチング溝316の側壁318上に形成されたパッシベーション層312を図示する。パッシベーション層312の1つの目的は、エッチングステップ中にマスク304と側壁318に保護を提供することである。
エッチングステップ410の結果は図3Cと図3Eで図示されている。SF6を使用したシリコンエッチングステップ(サブプロセス)が蒸着ステップ(サブプロセス)前あるいは後に実施される。SF6ガス流はエッチングステップ用に30sccmから300sccmにセットできる。SF6ガスの当初エッチング圧が確立され、ガス流量が所定のバルブポジションを有したスロットルバルブでコントロールされる(コントローラー535を使用)。蒸着とエッチングプロセスの圧力は同一所定バルブポジションあるいは異なるが実質的には類似したバルブポジションでセットできる。さらに、蒸着ステップとエッチングステップのオーバーラップ時間は、所定の蒸着及びエッチング時間の各サイクル後に開始するように数秒から約20秒までの間でセットできる。このオーバーラップ時間は個別のステップでもセットできる。図3Cはエッチングステップ410から生じるエッチングチャンネル316を図示する。ステップ408で形成されたパッシベーション層312の一部はエッチング加工時に除去される。好適実施例では、ポリマー蒸着ステップ408でマスク304上に形成されたパッシベーション層312の一部はマスク304上に残る。図3Cで示すように、マスク304はエッチングステップ410中にパッシベーション層312によって侵食から保護される。図3Eは循環プロセスのさらなるエッチングステップ410を図示する。
この実施例では、サイクル409を通じてプラズマフィールドと切り替え時間間隔の維持が望ましいであろう。ガス間のプラズマフィールドと切り替え時間間隔の維持は安定した平衡状態に貢献し、システムが従来システムの如くには平衡を保つために待機時間間隔を必要としないため、上述のようにプロセス時間を減少させることができる。上述の如く、切り替え時間間隔は好適には250ミリ秒以下である。実施例によっては、質量流制御バルブを使用してプロセスガスを切り替えることができる。単1体のガスバルブは1タイプのガスだけがプラズマチャンバー500へ一時に放出されるのを保証する。所望のエッチングが達成されるまで本方法は継続される。ステップ412では本方法は追加プロセスが必要ないと決定する。本方法はここで終了する。
装置
図5は本発明の1実施例で使用できるプロセスチャンバー500の概略図である。図示の実施例ではプラズマプロセスチャンバー500は変圧器コイルプラズマ(TCP)コイル502、上方電極504、下方電極508、ガスソース510、少なくとも1つのRFソース548/544、排気ポンプ520及びコントローラー535を含んでいる。チャンバー壁552はTCPコイル502、上方電極504、及び下方電極508が設置されるプラズマ収容体を提供する。電極504/508及びTCPコイル502は閉込プラズマ540を定義する。少なくとも1つのRFソース548/544が上方電極504と下方電極508と電気的に接続される。上述の如くRFソース548/544は、上方電極504と下方電極508に電力を供給するために、RFの単独あるいはその異なる組み合わせを含むことができる。プラズマプロセスチャンバー500内で、基板層とマスク層を含んだウェハー580が下方電極508上に配置される。下方電極508はウェハー580を保持するよう適した基板チャック機構(例:静電的、機械的クランプ等)を組み込んでいる。プラズマリアクター上面528は下方電極508に対面する上方電極504を組み込んでいる。
ガスはガスソース510によってガス入口543を通って閉込プラズマ540に供給でき、排気ポンプ520によって閉込プラズマ540から排気できる。ガスソース510はパッシベーション層ガスソース512、エチャントガスソース514及び追加ガスソース516をさらに含んでいる。様々なガスのためのガス流の調節はバルブ537,539及び541によって達成される。別実施例では、様々なガスのためのガス流は1体の質量流制御バルブ(図示せず)によって達成できる。言い換えれば、コントローラー535によって1つのプロセスポイントでガス間の切り替えが制御されるように、別々のガスが共通のポート式バルブに送られる。排気ポンプ520は閉込プラズマ540用のガス出口を形成する。
コントローラー535はシステムの様々なコンポーネントに電気的に接続されて調節する。例えばRFソース544/548、排気ポンプ520、パッシベーション層ガスソース512に接続されたコントロールバルブ537、エチャントガスソース514に接続されたコントロールバルブ539、及び追加ガスソース516に接続されたコントロールバルブ541等を含むプラズマプロセスコンポーネントを調節する。上述の如く、ガス間の切り替えが1つのプロセスポイントで制御されるように、1つの質量流制御バルブ(図示せず)をコントローラー535と電気的に接続することもできる。コントローラー535は、ウェハー領域のガス圧力;ウェハー裏面ヘリウム冷却圧力;バイアス;及びバルブコントロールと同調する様々な温度の制御にも使用できる。
本発明を様々な好適実施例で説明したが、本発明の範囲内で多様な変形が可能である。例えば、図2及び図4では蒸着サブステップに先立つエッチングサブステップが示されているが、これらのサブステップは望むなら前後逆にすることができる。本方法と装置の実施には多くの変更が可能である。「請求の範囲」は本発明の範囲内にあるこれらの変更を全て含むものである。
図1Aから図1Cは、基板上にパターン化されたマスク材料を有した従来の基板エッチング部の断面図であり、等方性及び異方性エッチングの特徴を図示している。 図2は、本発明の1実施例による基板の最良エッチング速度を決定するためのプロセスフロー図である。 図3Aから図3Fは、本発明の1実施例による基板エッチング部の断面図である。 図4は、本発明の1実施例による基板の最良エッチングのためのプロセスフロー図である。 図5は、本発明の実施例を実施するのに使用できる例示的装置の概略図である。

Claims (19)

  1. エッチングマスクを通して層内に特徴部をエッチング加工する方法であって、
    a)第1圧力でポリマー蒸着ガスを提供するステップと;
    前記ポリマー蒸着ガスから第1プラズマを形成するステップと;
    エッチングマスクと層の全露出表面にパッシベーション層を形成するステップと;
    b)第2圧力でエッチングガスを提供するステップと;
    前記エッチングガスで第2プラズマを形成するステップと;
    前記エッチングマスクで定義された前記特徴部を層内にエッチング加工するステップと;
    c)前記ポリマー蒸着ガスと前記エッチングガスとを選択された時間パラメータ内で切り替えるためのコントロールバルブを提供するステップと、
    を含んでおり、前記第1圧力と前記第2圧力は一定圧力で実質的に等しく、前記特徴部が提供されるまでステップa)とステップb)を反復し、前記パッシベーション層の前記形成ステップと前記特徴部の前記エッチングステップとの間を切り替える間、前記一定圧とプラズマ流もまた維持されることを特徴とする方法。
  2. 第1圧力と第2圧力の差は10%以下であることを特徴とする請求項1記載の方法。
  3. 特徴部の形成の際にはエッチング速度を最良化させるように第1圧力と第2圧力が選択されることを特徴とする請求項1記載の方法。
  4. 第1圧力と第2圧力は略3mTorrから略300mTorrの間に維持されることを特徴とする請求項1記載の方法。
  5. 第1圧力と第2圧力は略50mTorrに維持されることを特徴とする請求項1記載の方法。
  6. ステップa)とステップb)は継続プラズマフィールドが維持されるように一時的にオーバーラップすることを特徴とする請求項1記載の方法。
  7. オーバーラップは持続時間が略20秒以下であることを特徴とする請求項6記載の方法。
  8. 選択された時間パラメータは略250ミリ秒以下であることを特徴とする請求項1記載の方法。
  9. パッシベーション層の蒸着ステップと特徴部のエッチングステップは共通のチャンバー内で実施されることを特徴とする請求項1記載の方法。
  10. 層はシリコンベース基板であることを特徴とする請求項1記載の方法。
  11. エッチングマスクを介して層内に特徴部をエッチング加工する方法であって、
    a)第1圧力でエッチングガスを提供するステップと;
    前記エッチングガスから第1プラズマを形成するステップと;
    前記エッチングマスクによって定義される特徴部を前記層にエッチングするステップと;
    b)第2圧力でポリマー蒸着ガスを提供するステップと;
    前記ポリマー蒸着ガスから第2プラズマを形成するステップと;
    前記エッチングマスクと前記層の全露出表面にパッシベーション層を形成するステップと;
    c)前記ポリマー蒸着ガスと前記エッチングガスとを選択された時間パラメータ内で切り替えるためのコントロールバルブを提供するステップと、
    を含んでおり、前記第1圧力と前記第2圧力は一定圧力で実質的に等しく、前記特徴部が提供されるまでステップa)とステップb)を反復し、前記パッシベーション層の前記形成ステップと前記特徴部の前記エッチングステップとの間を切り替える間、前記一定圧とプラズマ流もまた維持されることを特徴とする方法。
  12. 第1圧力と第2圧力の差は10%以下であることを特徴とする請求項11記載の方法。
  13. 第1圧力と第2圧力は特徴部を形成しつつエッチング速度を最良化させるように選択されることを特徴とする請求項11記載の方法。
  14. 第1圧力と第2圧力は略3mTorrから略300mTorrの間に維持されることを特徴とする請求項11記載の方法。
  15. 第1圧力と第2圧力は略50mTorrに維持されることを特徴とする請求項11記載の方法。
  16. ステップa)とステップb)は継続プラズマフィールドが維持されるように一時的にオーバーラップすることを特徴とする請求項11記載の方法。
  17. オーバーラップは持続時間が略20秒以下であることを特徴とする請求項16記載の方法。
  18. 選択された時間パラメータは略250ミリ秒以下であることを特徴とする請求項11記載の方法。
  19. パッシベーション層の蒸着ステップと特徴部のエッチングステップは共通のチャンバー内で実施されることを特徴とする請求項11記載の方法。
JP2007505106A 2004-03-26 2005-03-23 最少スカラップ基板の処理方法 Withdrawn JP2007531280A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55670704P 2004-03-26 2004-03-26
US10/882,036 US20050211668A1 (en) 2004-03-26 2004-06-29 Methods of processing a substrate with minimal scalloping
PCT/US2005/009531 WO2005098917A2 (en) 2004-03-26 2005-03-23 Methods of processing a substrate with minimal scalloping

Publications (1)

Publication Number Publication Date
JP2007531280A true JP2007531280A (ja) 2007-11-01

Family

ID=34988535

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007505106A Withdrawn JP2007531280A (ja) 2004-03-26 2005-03-23 最少スカラップ基板の処理方法

Country Status (6)

Country Link
US (1) US20050211668A1 (ja)
EP (1) EP1728272A2 (ja)
JP (1) JP2007531280A (ja)
KR (1) KR20060135839A (ja)
TW (1) TW200603310A (ja)
WO (1) WO2005098917A2 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7598505B2 (en) * 2005-03-08 2009-10-06 Axcelis Technologies, Inc. Multichannel ion gun
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
KR20120000612A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US8871105B2 (en) * 2011-05-12 2014-10-28 Lam Research Corporation Method for achieving smooth side walls after Bosch etch process
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
CN110211870B (zh) * 2019-06-18 2021-08-13 北京北方华创微电子装备有限公司 晶圆减薄方法
CN112928070B (zh) * 2021-03-19 2023-06-06 长鑫存储技术有限公司 存储器的制作方法及存储器
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6576489B2 (en) * 2001-05-07 2003-06-10 Applied Materials, Inc. Methods of forming microstructure devices
US6818564B1 (en) * 2001-12-20 2004-11-16 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias

Also Published As

Publication number Publication date
US20050211668A1 (en) 2005-09-29
KR20060135839A (ko) 2006-12-29
EP1728272A2 (en) 2006-12-06
TW200603310A (en) 2006-01-16
WO2005098917A3 (en) 2006-05-11
WO2005098917B1 (en) 2006-06-29
WO2005098917A2 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
JP2007531280A (ja) 最少スカラップ基板の処理方法
JP4796965B2 (ja) エッチング方法及び装置
KR101742324B1 (ko) 반도체 장치의 제조 방법 및 플라즈마 에칭 장치
KR20190026589A (ko) 에칭 방법
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US7109123B2 (en) Silicon etching method
TW201826386A (zh) 用於高深寬比結構之移除方法
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
JP2006066408A (ja) ドライエッチング方法
US11398386B2 (en) Plasma etch processes
US10424487B2 (en) Atomic layer etching processes
US11335565B2 (en) Systems and methods to form airgaps
US20210111033A1 (en) Isotropic silicon nitride removal
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US20220351979A1 (en) Systems and methods for selective metal compound removal
US20230015080A1 (en) Metal oxide directional removal
US20220093406A1 (en) Method and apparatus for processing a substrate
US20220293430A1 (en) Isotropic silicon nitride removal
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
CN111952169A (zh) 聚酰亚胺刻蚀方法
US20240087910A1 (en) Methods of highly selective silicon oxide removal
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
TW202226372A (zh) 蝕刻結構及平滑化側壁的方法
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
WO2024015198A1 (en) Carbon hardmask opening using boron nitride mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080321

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090929