JP2006502422A - コンテクスト特定型のマスク検査のための方法及びシステム - Google Patents

コンテクスト特定型のマスク検査のための方法及びシステム Download PDF

Info

Publication number
JP2006502422A
JP2006502422A JP2004521802A JP2004521802A JP2006502422A JP 2006502422 A JP2006502422 A JP 2006502422A JP 2004521802 A JP2004521802 A JP 2004521802A JP 2004521802 A JP2004521802 A JP 2004521802A JP 2006502422 A JP2006502422 A JP 2006502422A
Authority
JP
Japan
Prior art keywords
mask
inspection
context
circuit
design data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004521802A
Other languages
English (en)
Inventor
ロバート シー パック
ルイス ケイ シェファー
Original Assignee
ケイデンス デザイン システムズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ケイデンス デザイン システムズ インコーポレイテッド filed Critical ケイデンス デザイン システムズ インコーポレイテッド
Publication of JP2006502422A publication Critical patent/JP2006502422A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

【課題】 集積チップのフォトリソグラフィ製造のためのフォトマスクを検査する技術を提供する。
【解決手段】 集積回路の設計データを生成する段階、及び、マスクを検査するために集積回路の設計データからのコンテクスト情報を使用する段階を含んでいる、リソグラフィ用のマスクを検査する方法。

Description

本発明は、集積チップのフォトリソグラフィ製造のためのフォトマスクの分野に関する。
フォトリソグラフィは、集積回路(IC)のような様々なデバイスのパターンを基板ウェハー上に生成する製造工程である。この工程は、一般的に、様々な回路エレメント、それらの電気的相互接続、及びチップ全体に亘るそれらの物理的レイアウトを含むICチップの設計で始まる。一般的に、IC設計は、フォトリソグラフィ工程を使用してFABにおいてICを製造するために必要な各層を描く。一般的に、ICチップには多くの層が存在する。
フォトマスクは、集積回路の設計後に作成される。フォトマスク(又はより簡単に「マスク」という)は、所定の集積チップの物理的な幾何学的配置のうちの1つの層についてのマスターイメージを与える。マスクは、リソグラフィ工程にとって不可欠のものである。マスクには、バイナリー・クロム−オン−ガラス(binary chrome-on-glass)の減衰位相シフトマスク(attenuated phase-shifting mask:attPSM)や、交互位相シフトマスク(alternating phase-shifting mask:altPSM)をはじめ様々な種類がある。マスクは、通常、フォトリソグラフィシステムで縮小サイズのマスクイメージをウェハー上に複製するのに使用される前に、その欠陥の検査が行われる。マスクの検査には、走査型電子顕微鏡(SEM)式システム及び光学顕微鏡式システムなど、種々のマスク検査システムを使用することができる。更に、原子間力顕微鏡(AFM)を含む他の特殊な「顕微鏡」を使用することもできる。更に、次元数(1−D、2−D、又は3−D)は別にして、測定手法及び他の測定技術を考慮することもできる。マスク検査システムは、マスクのイメージを、別のマスク又はマスクデータベースと比較して欠陥を見つける。マスク内に欠陥が見つかると、それらがそのマスクから作成されるチップ上に複製されたり或いはチップに有害な歪みをもたらすことがないように、しばしば修復が行われる。
従来のフォトリソグラフィシステムは、ウェハー表面に縮小サイズでマスクパターンを転写するために、マスク上に及びマスクを通してUV光エネルギを投射する。そしてこのエネルギは、ウェハー表面でウェハー上の感光性コーティングに作用する。フォトマスクはフォトリソグラフィ工程における非常に重要なものであるが、その理由は、フォトマスクが回路に関する集積回路の設計パターンの必須の情報を保持していて、このフォトマスクを通して回路が複製されるからである。マスクは、様々な工程で作成することができる。1つの方法では、ウェハー上に意図したチップパターンを作成するために開発されマスクデータに基づいて、フォトリソグラフィ工程で電子ビーム又はレーザ式システムを使用してマスク上にパターンが書き込まれる。
現在の光学リソグラフィ技術の解像度の限界は、サブ波長つまり低k1寸法のクリティカルなICの形態幾何学的配置によって要求が益々厳しくなっている。クリティカルな寸法の形態の幾何学的配置がムーアの法則の予測に従って又はそれよりも大きな速度でサイズが小さくなっているのみならず、既に大きな数になっているこれらの形態の幾何学的配置の数も同じく非常に大きな速度で増している。更に、マスクレベルでの解像度改善技術による光学的近接効果歪みの軽減が必要なことから、全体的なポリゴン形態の数が急増している。これらのクリティカルな形態の幾何学的配置は、非線形イメージングの厳しさ及び感度のために、同じく非常に精密にパターンニングしそして検査すべきである。(これとの関連では、これらの影響は、マスクエラー増大因子又はMEEFからもたらされるといわれる場合が多い。)マスクエラーを何倍にも拡大することの多い非線形度の高いイメージング挙動のために、サブ波長(又は低k1)用途では極端な精度が要求される。
ICによって実行される論理機能の数が年々高まっていることから、業界のトレンドはより大型かつ複雑なシステム・オン・チップ設計及び混合信号設計に向っており、「解像度強化技術(RET)」及びダミーフィル・パターン(dummy fill pattern)のような人為的な「製造可能性に対するレイアウト強化(LEM)」機能を益々積極的に使用する傾向にあり、ICの物理的設計レイアウトデータ量、及び得られるマスクデータファイル量のサイズが爆発的に増えている。設計及び製造の工程を全体的に統合した複雑さも、異なる技術領域間の、そして製造と設計のそれぞれの「カルチャー」の間の広くて深まるギャップを橋渡ししようとするにしたがって、ますます広がっている。このことは、マスクを作成して検査するのに要する時間を延ばし、マスクエレメントに影響を及ぼすエラー数を増大させ、マスク工程に関連するコストの増大をもたらしている。
これらのいくつかの問題について、図1に示すように、マスク作成及び検査方法を含む従来のフォトリソグラフィ工程に関連して説明する。ブロック102では、多くの場合種々のEDAシステム、例えば米国カリフォルニア州サンホセ所在のケイデンス・設計・システムズ社によって製造されているようなEDAシステムを使用して、集積チップ(IC)設計が作成され、所望の製品設計がなされる。一般に、IC設計フローは、まず所望の回路作動から始まり、次に、設計レイアウト及びその所望の作動を生み出すと期待される回路エレメントの組へと進行する。通常、IC設計工程102は、一層ごとに所望の回路の電気的な作動を達成することができるレイアウト内の回路エレメントの組を形成するために、回路設計及び分析、レイアウト合成及びルーティング、及び検証及びテープアウトを含む。この工程は、設計フローと呼ばれる場合が多い。IC設計及びマスク製造工程の背景に関しては、アルフレッド・K・ウォング著「光学リソグラフィにおける解像度強化技術」、第1章、「SPIE Press」、2001年を参照することができる。この全内容を本明細書に援用する。
テープアウトまでに、設計回路図又はネットリストに対する物理的レイアウトの関係、個々の回路エレメントモデル及び特性、回路のクリティカリティ、及びIC設計作成上で用いられる製造条件に関して、大量の情報が利用可能である。更に情報は、一般に、予め設計されて特徴付けされたブロックである「コア」の形態の基本的なライブラリベースセルすなわち「ハードIP」の設計階層の中にある。このレベルでの回路エレメントは、例えば、トランジスタ、電力バス、レジスタ、コンデンサ、及び相互接続を含んでいる。また、エリア・フィル(area fill)セルのようなロゴ及び製造エレメントも含むこともできる。テープアウトは、一般的に、IC設計フローの最後の工程であり、製造工程への「ハンドオフ」機構である。一般的に、テープアウトは、「GDS−II」ストリームフォーマットで、幾何学的配置のみの設計の階層データファイルを生成する。しかし、この幾何学的配置のみのフォーマットからは設計に関する多くの有用な知識が取り去られ、従って、これに由来するいかなるデータファイル又はその後に行われる任意の設計又は製造統合工程においても利用不能である。
現在及び近い将来の光学リソグラフィ工程の基本的かつ固有の制限のために、IC設計のレイアウトは、もはや、最終的なICウェハーにプリントされるパターンとまったく同じではない。その結果、種々の「解像度強化技術(RET:Resolution Enhancement Technique)」を使用して様々な歪みを補正するか又は先進の光学技術によってより高い解像度を可能にすることができる。RETに対する背景情報に関しては、本明細書においてその全内容が引用により組み込まれているものとする、アルフレッド・K・ウォング著「光学リソグラフィにおける解像度強化技術」、第1章、「SPIE Press」、2001年、及びL・W・リーブマン他著「リソグラフィ解像度強化のためのTCAD開発」、「IBM Journal of Research and Development」、第45巻、5号、2001年9月を参照することができる。一般的に、RETは、テープアウト前の設計フローの最後に追加され、設計者からは見えない。しかし、多くのRETの影響が益々設計フローの上流で処理されるようになり、レイアウトの考察や更にRETの組込みがより早期に適用される。
IC設計の作成後に、マスクデータ準備及びジョブデッキ作成工程104が続く。これによりマスクフローが始まり、マスクの検査及び修復へと続く。マスク書込工程及びある程度までのフォトリソグラフィ工程の知識は、「GDS−II」設計データベースを書込ツールで使用するデータファイルに「分割(fracturing)」するのに用いることができる。書込ツールには、MEBESデータファイルフォーマットを使用することが一般的である(他のフォーマットも使用することもできるが)。MEBESファイルは、マスクを書き込むのに使用されるポリゴン及び幾何学的配置情報は有する。しかしながら、このファイルは、「GDS−II」ストリームファイルと同じく、IC設計フローで利用可能な高レベルのIC設計、回路形態機能性、又はクリティカリティ情報を全く持っていない。MEBESファイルは、ポリゴンの形状、寸法、マスク上の位置、チップ表面におけるリソグラフィ忠実度を改善するために付加される製造的特徴、及び他のパラメータを説明する情報を含むことはできる。しかしながら、どのような形態(feature)がその幾何学的配置及び位置を超えるという「知識」は存在しない。IC設計工程がレイアウト及び多くの場合大部分のRETを設定するので、このブロックではほとんど「設計」は行われない。IC設計102とマスク書込106との間のマスクデータの準備104で処理されるのは、分割、ジョブデッキ作成、及び二次的チップ表面形態の追加(レジストレーションマーク等)くらいである。
続いて、マスク書込工程106が行われる。マスク書込には、多くの場合、マスク基板(多くの場合、ガラス)上の感光性もしくは電子感応性のコーティング(「レジスト」と呼ぶ場合が多い)へのポリゴン形状及びマスク設計パターン(多くの場合、MEBESファイル)のレイアウトの書込と、これに続く、使用するそれぞれのマスク技術(例えば、attPSM又はaltPSMなど)に対応したクロム、ガラスその他材料でのエッチングが含まれる。マスク書込は、電子ビーム式システム又はレーザ式システムを含む様々な技術に基づいて行うことができる。マスク基板に書き込まれるマスクエレメントの忠実度は、レーザ、電子、又はマスク上の近接効果による、印加エネルギ、使用する成形ビームの開口、及び他の形態との近接性によって定義することができる。エレメントの書込において時間が長くビームエネルギーが大きいというプラスの効果は、印加エネルギによって引き起こされる周辺フォトレジストの熱的及び化学的変化に起因するマイナスの効果によって相殺される場合がある。書込速度の最適化と、書込速度に関連した熱的効果、化学的効果及び近接効果の有害な影響との間にはトレードオフが存在する。
一般に、マスク書込システムには、MEBESデータファイルのようなポリゴン形状及びレイアウト情報を含むデータベースが設けられ、これによって制御される。「分割」 ではマスク書込装置に対してマスクデータが準備されるが、これは、複雑なポリゴン形状を要求に応じて単純な形状のベースセットに分解し、そして必要に応じてマスク書込装置の電子又はレーザのスポット近接性、形状、露出補正その他の寸法設定(sizing)演算をデータに適用することにより行われる。多くの出力ファイルが作成される。設計データの接続性及び「設計意図」は、この時点までに破棄されている。ここで設計データの接続性には、様々なICエレメントの電気的ネットリスト又は回路図、機能的意図、及びクリティカリティに関連するデータが含まれる。すなわち、書込ツール及びマスク検査その他の下流側の作業では、単純なポリゴン形状及び位置データだけが受け取られ、設計を意識した情報(design-aware information)の処理のために必要な、ポリゴンの設計意図に関する知識も、そのポリゴンの他の部分との設計上の関係を確立するためのメカニズムも存在しない。このようなシステムでは、その時点以降は、マスク書込及び検査のイメージング工程は、等方性イメージングという最も一般的な一様なイメージング仮定の下で工程を実行する。各形態の処理は、それらが隣接したものと同一条件の下で実行され、これらは空間的に不変であり、デバイスも回路もそれとは意識されない。すなわち、結果として得られる回路の有効性に対する重要性に関して、マスク全体のポリゴンの形状が同等に扱われる。レイアウトの形態がサブ波長又は「低k1」となる段階への移行が進むと、レンズ収差が、空間的に不変であるとの前提にますます反することになり、設計フロー並びにマスクフローにおいて高いレベルな追加的な配慮が必要となる。
図1に示す典型的なフォトリソグラフィ工程の例に対する参照を続ける。マスク書込後には、マスク検査工程108が実行される。ポリゴンマスクエレメントが、書き込まれるか又はプリントされたマスクは、欠陥検査が行われる。マスク検査システムの例として、走査電子ビーム式、遠UV光学式、原子間力式の顕微鏡システムがある。フォトマスク検査マシンは一般、動作モードとして、SEM又は光学顕微鏡照明を使用した、「ダイ対ダイ」モード又は「ダイ対データベース」モードを含む。ダイ対ダイモードは、同一のマスクプレート上の2つのダイの間の形態の相関に依存していかなる相違点も表示することができるのに対して、ダイ対データベース手法は、マスク検査ファイルデータに対する相関を可能にする。後者は、理想的データに対する相関を提供するので多くの場合に好ましい。しかし、後者の場合はかかる時間が膨大となり、非常に計算能力の高いパラレルコンピュータアーキテクチャを備えた検査装置が必要になる。検査のレベルは、検査しようとするマスクのエレメント又はマスク領域に適用される検査システムの解像度によって判断されうる。欠陥には、マスク設計から逸脱した任意のものが含まれる。たとえば欠損、位置ずれした又は奇形のピンホール、ブリッジッグ形態(bridging feature)、穴、形状、又はダイ作業区域外のクロムを含む「うわべ上の」欠陥の部類、マスク縁部上のガラスチップのような汚染、又はリソグラフィ工程やシリコンウェハーでは「見られない」他の異常などある。
うわべ上の欠陥を別にして、マスク内の欠陥は、一般的にそのマスクから複製される集積回路に欠陥をもたらすと考えられる。これらの欠陥を分類して除去する工程は、時間の掛かるマニュアル手法によって行われる。マスクの検査では、分割ツールによって生成されるマスクデータファイルからのポリゴン形状及びレイアウト情報だけが参照される。このファイルは、例えば、KLARIS(米国カリフォルニア州サンホセのKLA−Tencor社によって製造された「KLA−Tencor・レチクル検査システム」の略)のようなファイルフォーマットであることが多い。しかし、マスクをそのマスク設計テンプレートと比較する際に、個々のマスクエレメントの機能、相対的な重要性、又はクリティカリティに関するIC設計工程からの情報が適用されることは、ほとんどないか又は全くない。これは、マスク検査に対する等方的なアプローチである。すなわち、各マスクエレメントは、得られる回路の動作に対する相対的な重要性とは無関係に、検査システムの時間及び解像度に関して平等に検査される。さらに、意図しないアーティファクト(artifact)が発見されたときに、このアーティファクトが、回路形態に与える影響及び製造目標という観点から見て欠陥とすべきか否かを判断する手段が存在しない場合がある。
欠陥分析110では、マスク検査工程の結果を試験し、欠陥が発見されか否かを見分ける。一般に理想的な設計からの逸脱は、欠陥とみなされる。しかし、いくつかのマスク欠陥は、マスクから形成される回路に対して顕著なマイナスの影響を与えないので、基本的に表面上のもの又は「非欠陥」として無視することができる。一般にこのような「非欠陥」には、マスクエレメントとしての機能を達成するための厳密な忠実度を必要としないロゴやエリア・フィルセルのようなマスクエレメントに隣接する欠陥が含まれる。更に、いくつかの欠陥は、プラス効果を有する場合があるので、無視することができるか又はマスク上に残すことができる。このような「プラスの欠陥」としては、例えば、欠陥が散乱バー(scattering bar)として作用してマスクエレメントに対するリソグラフィの焦点深度を高めるようにマスクエレメントからある一定の距離(例えば、レイリー距離)のところに位置する欠陥が含まれる。このような「非欠陥」及び「プラスの欠陥」は、見過ごすか、又は欠陥分析及び補正目的に対して欠陥ではないと判断することができる。
欠陥分析110では、ポリゴンマスク形状の近く又はその上の欠陥、形状の相対的位置決めの欠陥、又はピンホール、ブリッジング、孤立したアーティファクト、あるいは「ハード」又は「ソフト」欠陥といった、マスク上の意図しない形状欠陥のような欠陥を識別する。欠陥にはまた、マスク上の不透明又は透明なエラーも含まれる。透明な欠陥とは、いくつかの検査条件下で見えないもの、あるいは代替的に、それらの検査条件下では見えるものの使用時の状況では見えないものである。このような透明な欠陥は、それを通過する光の位相に影響を与える場合があり、望ましくない光干渉効果をもたらすが、不透明な欠陥は、何らかの方法でそのような光を遮断し、あるいは変化させる。
発見された各欠陥は、一般に、それが修復可能か否かを決定するために、欠陥判断ブロック112で審査される。欠陥判断ブロック112はまた、修復不可能な場合は、修復せずに欠陥を許容できるか否かも判断する。この判断は、使用する特定のリソグラフィ工程条件下での欠陥の高度なモデル化及びシミュレーションを必要とする場合がある。「修復不可能な欠陥」というのを、例えば、集束イオンミリング(focused ion-milling)又は堆積修復技術のような修復工程によっては容易に修復ができないような欠陥とすることができるであろう。判断ブロック112で修復不可能な欠陥と判断されると、マスクを廃棄し(116)、新しいマスクの書き込みが行われる。
欠陥が修復可能な場合には、マスク修復工程114が実行される。マスク欠陥修復では、多くの場合、集束イオンビーム(FIB)修復が行われる。しかし、イオンビームミリングや他の修復工程によって行われるマスク修復114は、新しいマスクを書き込むよりも時間がかかり、高価である場合がある。更に、マスク修復は、イオンミリング工程中に不要な材料が追加され、マスクに新たな欠陥を生じさせる場合も多い。また、修復工程は、望ましくない方法でマスクエレメントを腐食することがある。マスクを単にハンドルするだけで、静電放電(ESD)や、その他数々の原因でマスクを変性させ又は破損する場合がある。従って、マスクの欠陥の修復後に更に別のマスク検査工程(108)が実行され、その結果が試験されて(110)、修復工程の間に新しい欠陥が生じたか否かが判断される。この検査、修復、及び再検査の反復サイクルは、多くの場合、高コストで時間が掛かる。
マスクに欠陥が発見されない場合、又は欠陥が無視された場合は、ICチップ製造(118)のリソグラフィ工程が実行される。マスクは、フォトリソグラフィシステムで使用され、集積チップ製造工程(118)の間にマスクパターンをウェハーに転写する。マスクにより、光は、マスク上に予め書き込まれた又はエッチングされたポリゴンマスクエレメントによって形成された透明部分を通過することができる。このようにして生成されたマスクのイメージは、次に、多くの場合に縮小イメージサイズで結像レンズシステムを通過し、リソグラフィ工程を通してウェハー表面上に複製される。すなわち、マスクは、ウェハー表面に回路設計を伝達するシステムに対して決定的る重要な役割を果たす。
アルフレッド・K・ウォング著「光学リソグラフィにおける解像度強化技術」、第1章、「SPIE Press」、2001年 L・W・リーブマン他著「リソグラフィ解像度強化のためのTCAD開発」、「IBM Journal of Research and Development」、第45巻、5号、2001年9月
リソグラフィマスクを検査する方法は、集積回路設計データを生成する段階、及びマスクを検査するために集積回路設計データからのコンテクスト情報を使用する段階を含む。
当業者に対して明らかになるように、本発明の他の及び更に別の態様及び利点が以下で説明される。
マスクの検査工程を改善する方法及びシステムが提供される。一実施形態では、集積回路及びフォトマスクの設計において開発された情報が、マスク上で検査されるポリゴン形状にコンテクストを与えるために使用される。様々な回路エレメントのポリゴン形状は、優先度、順序付け、又は検査パラメータ情報のようなそれらの関連コンテクスト情報に照らして検査される。コンテクスト情報は、データベースに追加され、マスクの検査工程を誘導するのに使用するために強調される。また、コンテクスト情報は、製造及び回路コンテクストパラメータの両方を含むことができ、回路又はマスク設計の様々な形態の優先度をつけて順序付ける工程で使用することができる。
製造工程に関連するコンテクスト情報としては、特定の解像度、クリティカリティ、近接効果歪み、マスク形態がもたらす回路層のトポロジー特性、化学的又は熱的制約、又は生産品質及び効率に対して有用な重み付けファクター、クリティカリティ、又は領域ベース優先度付けを含むことができる。集積回路に関連するコンテクスト情報としては、例えば、回路のエレメントとの関連及び形態特性(例えば、MOSFET又は相互接続)、回路エレメントの他の回路エレメントに対する優先度判断基準、又はクリティカルな許容範囲を含むことができる。
コンテクスト情報が与えられると、各マスクエレメントが設計又は製造に特定のコンテクストと釣り合ったマスク検査システムの配慮を受けるように、各マスクエレメントは、その設計、製造、又は他の目的のコンテクストでその個々のパラメータ、ランク、又は順序によって検査される。また、順序付け、解像度、及び分析レベルのようなシステムパラメータは、コンテクストを考慮して調節することができる。
一実施形態では一つのプロセスが提供され、これによりチップ及びマスクの設計情報が強化され又は再順序付けされ、そしてこのプロセスはマスクの検査工程を通して使用される。この情報は、マスク上の各エレメント又は領域に関するコンテクスト情報及び優先度情報を含むことができるので、マスク検査システムのリソースを効率的に割り当てることができる。一実施形態では、各マスクエレメントは、該当するエレメントの他のエレメントに対するコンテクスト、優先度、又はランキングのようなコンテクスト情報に基づいて、その設計又は処理目的にふさわしいエネルギ量、時間、解像度、分析知識、及びコストにより、及びその設計又は処理目的にふさわしいクリティカリティによって検査することができる。
コンテクストによって特定されるマスク検査の実施形態は、シリコンウェハー上の最終的にパターン化されたIC形態の検査に、そしてマスク又はシリコンの各種計測に適用することができる。また、コンテクスト特定型のマスク検査の実施形態は、シリコン、シリコン・オン・インシュレータ、シリコン・ゲルマニウム、及びガリウム砒化物を含む様々なIC技術及び材料を検査するために、並びに集積光学回路、MEMS、及び微小電気光学機械技術のような統合された光学的及び機械的「回路」技術を検査するために適用することができる。
「コンテクスト特定型のマスク検査」を実証する実施形態では、クリティカルな回路形態は、工程の制約及びデバイスの物理的特性、並びに回路の作動仕様というコンテクスト(前後関係)で検査される。検出された欠陥又は基準レイアウトからの逸脱は、その欠陥から得られる製品に対し実質的な影響を与えない場合は、無視するか又は「非欠陥」として分類し直すことができる。このような判定に到達するたに、前記逸脱が、特定のICのレイアウト形態と関連付けられる。関連付けされたレイアウト形態の意図は、回路的な意味又は製造的な意味で、コンテクストによって与えられる。次に、これらの形態はICネットリスト又は回路図に注釈付けすることができる。更に、「動作の物理(operative physics)」が既知である必要がある。IC完成品の最終結果の乱れに対して、どの工程又はデバイスの物理的特性が作用するかを知る必要がある。形態の動作の物理及び目的を知ることによって、その異常がどのようなレイアウト形態と相互作用するかという観点での理解、すなわち相互作用の範囲、そして任意の種類の「エラー増大因子」処理の理解が可能になる。次に、得られる回路又は製造工程に対する関連付けされたレイアウト形態のクリティカリティを理解すべきである。つまり、レイアウトエレメントに対して逸脱が存在した場合、これが許容することができるか否かが見分けられる。これらのコンテクストのこの演繹的知識を考慮することによって、コストに基づいたインテリジェントな判断、例えば、性能効果又は歩留りに関するコスト、あるいは修復することと廃棄して新しいマスクを書き込むことに対する実際のコストに基づくインテリジェントな判断を行うことができる。
一実施形態では、コンテクスト特定型の検査に基づいて、設計フィードフォワード又は「設計可能とされた製造」が行われる。これは、マスク書込ツール及びマスク検査ツールに対して、優先度又はクリティカリティデータタグによる直接的又は間接的なデバイス及びネット対物理的幾何学的配置関係のような設計意図情報を使用すること又は送ることを含み、従って、形状は、それらの最終的な回路性能の適切なコンテクストで空間的又は時間的に書き込まれ検査される。このようにコンテクスト情報を送ることの利点の1つは、検査される各形状が優先され、その検査解像度及び分析レベルが、その回路の重要性、クリティカリティ、ドメイン、物理的相互作用の感度、及び全体的な製造目標に関連して設定されるという点である。ベクトル走査又はラスタ走査方式のマスク検査システムを使用する場合、イメージフィールドのサイズは、ピンポイントから広い開口まで変更することができるので、高効率を得ることができる。更に、ベクトル走査システムを使用すると、検査ビームの軌跡は、様々なマスクエレメントとの関連で、マスクをより効率的に検査するように設定することができる。別の検査用途で使用する場合も同様である。
マスク検査に適用される実施形態では、IC設計フィードフォワード工程を実行するマスク検査システムは、クリティカリティの階層に関する知識を示すデータを有している。従って、システムは、形態リストをランク付けし、それに応じて成形ビームマスク検査のビームサイズを調節することができる。検査待ち行列の順序に基づくマスク設計データベース内のデータ順序付けは、現在の比較的無秩序なストリーミングデータ手法に比べて高い効率をもたらように実行できる。一例として、この優先度により、最もクリティカルなトランジスタ及びIC設計ネットに関して、最終製品へ各形態が与える影響と矛盾のない検査が可能になる。この手法を用いることにより、エリア・フィルセルのような比較的重要でない幾何学的配置について、最もクリティカルなトランジスタ及びICの相互接続ネットと同じような精度で検査されることを防止することができる。また、ロゴ・コマンドが、企業の最も重要なIC製品でありうる最もクリティカルなセルとして処理されることを防止することもできる。
形態の重要性及びクリティカリティの階層は、最終的な回路性能及び製造目標に基づいて確認することができるので、性能−歩留り検査戦略を実行することができる。形態のクリティカリティデータに基づいて、工程の効果及び回路の影響の評価を行うことができ、それに応じてビームのオーダー、形状、走査、及び/又はタイミングを調節することができる。更に、見つかった欠陥のコンテクスト及び分類を、それがリソグラフィのステッパ又はスキャナの動作的物理に起因して隣接する形態と光学的に相互作用する方法との関連で検討することができる。これらのシステムにおいては、解像度よりも小さい形態も含むマスク形態及び欠陥が、低k1リソグラフィの高度に非線形なイメージング挙動に起因して、部分的にコヒーレントな(可干渉性の)光及び軸外照射と非直感的な態様で相互作用する場合がある。ステッパシステムにおいては、これらのアーティファクトは、局所的な形態とコヒーレントに相互作用する場合もあるが、それは、線形イメージングの場合にそれらのアーティファクトのサイズの小ささや位置から示唆されるよりもはるかに破壊的であるか、あるいはまた、隣接する回路形態に対して実際に有用であるか又は重要性を持たない。
不要なアーティファクトのために生じうる幾何学的変形は別として、いくつかの変形は、これらをIC設計のコンテクストにおいて考えた場合、クリティカル又は非クリティカルとして分類されうる。一例として、近接するアーティファクトのためのクリティカルなタイミングトランジスタの変形は、修復されるべきキラー欠陥として分類されるアーティファクトとされるかもしれない。しかし、エリア・フィルセルの変形については、重要性を持たないとされるかもしれず、その場合は欠陥として放置するアーティファクトとされる。従って、通常は欠陥と見なされるうるアーティファクトも、それらが製造されたチップに対して実質的な影響がないことが示され、かつ修復のコストと危険性が不利であることが示されれば、コンテクスト特定型のマスク検査によって放置されうる。
図2は、コンテクスト特定型のマスク検査の実施形態に基づいたマスク検査システムを表す。このようなシステムは、例えば、電子ビーム、レーザ、又は原子間力式のイメージング技術を含むことができる。マスク設計及び検査情報202は、磁気テープ、ディスクカートリッジ、又はインターネット接続上のファイル転送プロトコル(ftp)のようなデータ転送方法204を通じて、マスク検査システム206に提供することができる。マスク設計及び検査データ202は、一般に、ハードディスク又は他のコンピュータの記憶メディアのようなコンピュータ読取可能なメディアに保持される。このようなマスク設計及び検査データ202は、例えば、検査システムのための解像度、及び/又はイメージファイルサイズ及び位置、又は、形態、領域、又は回路ブロックがマスク表面212上で所望のレイアウトで検査されて分析される順序を規定するのに用いられる。これはまた、検出器、すなわちマスク表面212からの反射又は透過レーザ又は電子ビーム214を受け取るイメージ捕捉システム216を制御するために使用することができる。更に、マスク設計及び検査データは、欠陥分析プロセッサ218によって使用されることもある。
説明のための例として、サンプル形状210は、寸法、形状、配向、マスク上の位置、優先度、及びクリティカリティを含む様々なコンテクスト特定型のパラメータによって、マスク設計データベース内で定義される。コンテクスト特定型のマスク検査の実施形態におけるマスク設計及び検査データは、例えば、KLARISファイルのような従来のマスク検査ファイル内で見つけられたポリゴン形状や位置情報に加えて、例えば、マスク優先度、回路機能、及びクリティカリティのようなコンテクスト情報を含む。(このコンテクスト情報とそれが実行可能とする設計フィードフォワードは、以下の図3でより詳細に説明される。)設計情報は、拡大率、解像度、又はフィールドの寸法及び位置に関連するパラメータを制御するために、マスク検査システムのソフトウエアに提供することができる。これと類似のパラメータを、検査及び原子間力顕微鏡(AFM)のような他の計測学的技術に対して使用することができる。所望の形状、寸法、及び位置を検査するために、このソフトウエアによって電子ビーム又は遠UV光学式の方向、エネルギ、及びタイミングを制御するようにしてもよい。更に、例えば、原子間力顕微鏡におけるようなプローブ応答データを捕捉し、同様に分析することもできる。更に、次元数(1−D、2−D、又は3−D)に関係なく、計測技術を考慮することもできる。
マスク検査システムにおける実施形態の更なる説明用の一例として、電子又は光学ビーム206は、種々のビーム走査手法を使用することができる。これらのビーム走査手法には、ラスタ走査又はベクトル走査手法が含まれ、これらにはまた、イメージフィールドを制御するために可変イメージフィールドサイジングが組み込まれていてもよい。従って、これらのシステムは、マスクフィールドを、ピンポイントでも、より広いフィールド断面でも検査する能力を有している。ラスタ走査手法では、定常的に動いているガラスフォトマスク212の全体にわたって例えば電子ビーム208が走査するよう使用することができる。ベクトル走査手法では、形状を検査するために、ビーム208のより多様なトラックをマスク212全体にわたって用いることができる。
コンテクスト特定型のマスク検査システムには、回路レベルのコンテクストの理解が存在し、それによってシステムの倍率を変更することが可能になり、それらのパラメータに基づくそのエレメントの回路機能に特定のエレメントに基づいて、特定マスクエレメントの検査におけるその使用を最適化することができる。従って、このようなコンテクストをベースにした検査システムでは、設計のコンテクスト情報を適用することができ、それによってマスク上の各ポリゴンは、その回路機能及びクリティカリティにふさわしい適切な解像度及び計算機のリソースを使用して検査されることになる。また、上記のような理解が存在することによって、ビーム208をエネルギ、空間、又は時間の点で変更することができ、それらのマスクエレメントの検査におけるビームの使用をマスクエレメントに基づいて最適化することができる。コンテクスト特定型の情報を使用するラスタビーム及びベクトルビームの書込システムでは、各エレメントの重要性とクリティカリティが等しいことを前提とする必要はない。これによって、コンテクスト特定型の検査システムでは等方的なイメージングという前提を回避することができ、これによりマスク上の各ピクセルを、最終的な回路のコンテクストの中でのその機能性及びクリティカリティという観点から扱うことができる。このように、コンテクストベースの検査システムでは、設計のコンテクスト情報を適用することができるので、マスク上の各ポリゴンを、適切な分量のエネルギ、解像度、イメージ捕捉パラメータ216及び欠陥分析218を用いて検査することができ、これらは、マスク上の他のポリゴンのものとは異なる。
コンテクスト特定型のマスク検査の実施形態では、個々のマスクエレメントの相対的な重要性及び機能的なコンテクスト情報を、ビーム208、イメージ捕捉216、及び欠陥分析218をより効率的に制御できる態様で検査システムに提供することができる。コンテクスト特定型のマスク検査の実施形態は種々のマスク検査システムに有効に適用でき、その中にはラスタ走査技術、ベクトル走査技術を用いるものも含まれる。ラスタ走査技術又はベクトル走査技術はいずれも、それらの相対的な重要性及び機能といったコンテクスト特定型の情報に基づいて解像度及び分析を変更させながら、個々のマスクエレメントを検査することができる。
図3は、コンテクスト特定型のマスク検査の実施形態によるマスク検査工程を示す。ブロック302では、集積回路設計工程又はIC設計フローは、回路設計と分析、レイアウト合成とルーティング、検証とテープアウトを含んでおり、各エレメントに対するポリゴンの形状及び位置の情報を含むとともにそれらのエレメントの回路関連及び製造関連のコンテクスト情報を含むようにして、IC設計データベース又はデータファイルを生成する。
ブロック304では、IC設計情報及びコンテクスト情報が、マスク設計工程に提供される。例えば、回路の機能性及びクリティカリティのコンテクスト情報だけでなくレイアウト幾何学データをも含むIC設計が、マスク設計データベースに取り込まれる。マスク設計データベースは、オープンアクセスフォーマット(OpenAccess format)とすることができ、ハードディスクそのコンピュータ読取可能な記憶メディアに保持され、またはファイル転送プロトコル(ftp)その他のインターネットプロトコルを通じてネットワーク上で伝送される。
ブロック306では、コンテクスト及び優先度の分析が適用される。この分析は、マスク書込などの先行する工程で適用された別の優先度分析に追加されるか、あいはその別の優先度分析と取り替えられる。例えば、個々のマスクエレメントとそれらの設計データは、マスクの作用的物理(operative physics)、ウェハーのリソグラフィ工程、及び検査工程に関して審査することができる。個々のマスクエレメントも、チップウェハー上に製造することが意図されている集積回路エレメントとのコンテクストで同様に審査することができる。結果として得られる回路エレメントの機能、その回路作動に対するその機能のクリティカリティ、そしてマスク上の他の機能に対するそのマスク形態の優先度又は重要性といったパラメータは、個々のマスクエレメントのコンテクストの審査に含まれる。クリティカリティ情報には、マスクエレメント上又はその近辺の欠陥又は潜在的な欠陥の影響を含めることができ、一方、優先度には、マスクエレメントが所定の順番で、又は他のエレメントと同じ特定の順番で、あるいは適合化トランジスタエレメント(例えば、DRAMセンス増幅器)の場合のように他のエレメントと同時に検査されるべきであるといった指示を含ませることができる。
コンテクスト特定型のマスク検査では、マスク製造優先度情報306の決定は、マニュアルで(例えば、ユーザインタフェースを通じて)実行するか、又は自動化された又はコンピュータ支援手法を通じて実行することができる。最も一般的なのは、自動、コンピュータ支援、及びマニュアルツールの組合せによって実行することである。一例して、これらのツールには、クリティカルなネットタイミング分析が含まれていてもよい。クリティカルなネットとは、回路全体の性能を制約する可能性が最も高いワイヤ及びトランジスタである。これらの工程306はまた、特定の性能目標を有するICの全体的な生産歩留りに、工程ウィンドウにわたって、影響を与えうるクリティカルなネットの集合の決定を含むことができる。
一実施形態では、このデータは、他の演繹的な設計知識と一緒に、マスク書込、マスク及びIC検査、マスク修復、及び、マスク及びシリコン計測といった製造工程に渡される。製造技術者は、シミュレーション、分析、又はデータベースアクセス及びマイニング(mining)のツールキットから導出される他の様々な半自動又はマニュアルのツールを使用することができ、あるいは製造上の必要性、履歴の製造上の学習、デバイスの物理的要件、及びシステムの不明点といったコンテクスト情報に基づいて、領域、セル、ブロックその他の特定の幾何学エレメントをタグ付けすることができるツールを使用することもできる。実施形態では、これらのタグは、マスクフローを下流に移動して利用可能とされ、効率的でインテリジェントなマスク検査工程、及び、インテリジェントなマスク書込工程を可能にするコンテクストを与えるために利用される。
優先度分析306を実行する実施形態には、1組の判断基準もしくは閾値の使用することが含まれ、これらの機能及びクリティカリティといったコンテクスト情報に基づいてマスクエレメントを分類し又は優先度付けを行う。この判断基準もしくは閾値というのは、例えば、クリティカルなタイミングネット、クリティカルな領域、ブロック、セル、ロゴやエリア・フィルセルといったクリティカリティの低い領域、ブロック、セル、適合化トランジスタ対のようなアソシエーション(association)、そして、ラスター・ストライプの交差部のような位置のクリティカリティといったものをベースとしている。
更に、マスク設計データは、マスク書込及び検査装置、及び装置によって実行された工程のコンテクストの根拠となる。リソグラフィの結像レンズの収差、機能している回路エレメントの作用的物理、及び最終製品に対する回路エレメントの相対的な重要性と影響に関連する情報といったコンテクスト情報をマスク設計データベースに含むことができる。例えば、コンテクスト特定型のデータは、エリア・フィルセルの目的がIC表面の平坦化を助けること、作用的物理がミクロンオーダーの大きさであること、特定距離のクリティカルな相互接続部の範囲に入る容量寄生結合の3次オーダーの効果を除いて回路へのフィルセルの影響が最小限であること、などを示すことができる。コンテクスト特定型のマスク検査の実施形態に基づいたマスク設計工程では、次に、演繹的な判断を自動的に又はマニュアルで行って、マスクデータベース内でこれらのコンテクストとクリティカリティを説明する形状に対応したデータタグを設定することができ、従って、検査の計画と戦略は、システムの解像度と処理をこれらの設定に従って調節することができる。
ブロック308では、解像度及び分析判断工程を適用して、マスク上に検査領域を設定する。マスクエレメントとそれらの関連設計データは、個々のコンテクストで見直され、分析されて、類似の関連検査優先度とコンテクスト情報を使用して、マスク上の領域又は複数のエレメントを含むのグループの輪郭を描く。例えば、優先度とクリティカリティが低い一組のエリア・フィルセルは、一緒に検査されるよう同じグループに分類され、その検査領域が低解像度かつ高速検査用である旨のマークが付される。更に、マスクエレメントは、ブロック314に関連して以下で説明するように、類似の検査分析の要求に基づいて同じグループに分類することができる。例えば、同じ組に含まれるエリア・フィルセルは、低レベルの分析を受けることとされ、たとえ欠陥が見つかっても、それがそのエリア・フィルセルの組の外側のいずれかの回路に影響を及ぼす場合に限ってそれが分析される。
検査領域は、基準と閾値の適用により、マニュアルで、又は自動で、又はコンピュータ支援の方法で決定することができる。ただし、自動、コンピュータ支援、及びマニュアルのツールを組み合わせるのが最も一般的である。例えば、これらのツールは、クリティカルなネットのタイミング分析を含むことができる。クリティカルなネットというのは、全体の回路性能を最も制約する可能性の高いワイヤでありトランジスタである。これはまた、クリティカルなネット全体の決定を含むことができ、これは、指定された性能目標における全体的なIC製品の歩留りに、工程ウインドウにわたって影響を与えうる。コンテクスト特定型のマスク検査の実施形態では、このデータは、他の演繹的な設計知識とともに、配線、マスク及びICの検査、マスクの修復、及び、マスク及びシリコンの各種計測といった、ICを製造するために実行される工程に渡される。
シミュレーション、分析、及びデータベースアクセス及びマイニングツールのツールキットから導出されるその他の半自動又はマニュアルのツールは、製造上の必要性、履歴的な製造上の学習、デバイスの物理的な要件、及びシステムの不明点等を基礎として、領域、セル、ブロック、又は他の特定の幾何学エレメントにタグ付けできるようにする。次に、これらのタグは、一実施形態では、マスクフローを下流側に移動しても利用可能とされ、効率的でインテリジェントなマスク検査工程に対してコンテクストを与えるのに利用される。
領域検査解像度分析(308)の実行中に、1組の判断基準又は閾値(コンテクスト又は優先度306の判断に関して説明したものである)を使用して、複数のマスクエレメントを、それらの機能又はクリティカリティといったコンテクストデータに基づいて,同じ検査領域に配置することができる。前記判断基準又は閾値は、例えば、クリティカルなタイミングネット;クリティカルな領域、ブロック、セル;ロゴ又は領域重点セルといったクリティカリティの低い領域、ブロック、セル;幾何学的近接性;適合化トランジスタ対のようなアソシエーション、及び、ラスター・ストライプの交差部のような位置のクリティカリティを含んでいるか又は前記判断基準又は閾値がこれらに基づくものである。
例えば、エリア・フィルセルの目的は、IC表面を平坦化するのを助けることである。作用的物理は、ミクロンのオーダーの大きさである場合があり、回路に対する影響は、エリア・フィルセルが特定の距離のクリティカルな相互接続の範囲に入る容量寄生結合の3次のオーダーの効果を除いては低い場合がある。コンテクスト特定型のマスク検査の実施形態では、次に、演繹的な判断を自動的に行なうことができ、これによりマスクデータベース内でこれらのコンテクストとクリティカリティを説明する形状に対応したデータタグを設定し、検査の計画及び戦略がシステムの解像度及び処理をそれに従って調節することを可能にする。
ブロック310では、マスク設計データを追加し又は再順序付けするための工程が適用される。例えば、高機能化されたデータをマスク設計データベースに追加するか又はその中に構成して、個々のマスクエレメントのコンテクストと優先度を、マスク設計又はマスク検査の計画に反映させることができる。この高機能化されたデータには、以下のようなものを含むことができる:各エレメントについての検査の優先度及び順序;適合化トランジスタやDRAM内のセンス増幅器のような時間的に連続した仕方でマッチさせて検査すべきエレメントに関する情報;各エレメントのための検査解像度及び分析;どの形態が回路機能的でどの形態が光学的又はトポロジー的に高機能化された形態であるかを表示するインジケータを含むことができる。追加的なマスク設計の詳細が、コンテクスト情報を、KLARISのようなマスクデータベースに含まれているポリゴンのマスク形状及びレイアウト情報に追加するだろう。
コンテクスト特定型のマスク検査の実施形態では、ブロック304から310において、マスクが設計され、検査ファイルが開発される。これは、所望の集積回路、ウェハー表面にマスクをイメージングするのに使用されるリソグラフィ工程の光学的及び物理的効果に関する知識、そしてデバイス及び相互接続物理特性に基づいてなされる。コンテクスト特定型のマスク設計工程は、マスク設計データベース又はデータファイルを生成することができる。このデータベース又はデータファイルには、例えば寸法、形状、位置、優先度、及び回路機能のクリティカリティのような、各マスクエレメントに対するコンテクスト特定型の情報を含むことができる。すなわち、テープアウト工程においてコンテクスト情報が失われるのではなく、マスク設計工程においてレイアウト及びコンテクスト特定型の情報を有するデータベースが利用可能となり、このコンテクスト特定型の情報に照らしてこのデータベースを再度整理することができるだろう。更に、このコンテクスト情報は、データファイル内に保持され、例えば検査計測工程など、マスクフロー全体を通して使用することができる。
ブロック312では、マスク設計の形態又は領域がマスク基板上で検査される。例えば図2に示すように、マスク検査システムは、書込ビーム208を制御するために、マスク設計情報を、データベース又は一組のデータファイル202からネットワーク204を通して照明システム206のマスク検査ソフトウエアに送る。マスク設計データベース又はファイルは種々のフォーマットのものとすることができ、その中には例えばオープンアクセス(OpenAccess)も含まれる。コンテクスト特定型の情報を含んだ拡張された、もしくは高機能化されたマスク設計データベースは、マスク検査工程312に提供され、個々のマスクエレメントの検査中に、機能、製造及び検査のコンテクストにおいて、検査ビーム、イメージ捕捉モジュール、及びイメージ分析モジュールの効率的な適用に用いられる。
マスク検査工程312には、検査工程及び関連するコンテクストのイメージ分析に対して指示するために、コンテクスト的に高機能化されたマスク設計データベースを使用することが含まれる。検査システムによって費やされる時間は、コンテクストによって特定されるデータに基づいて、各マスクフィールドや各エレメントを見る順序及び見る視野を制御することによって、最小にすることができる。また、マスク検査工程は、コンテクスト情報を使用し、コンテクスト特定型の優先度及びマスク領域内のマスクエレメントの順序に基づいて、各マスクエレメントに適用される適切な解像度及び分析を制御することができる。コンテクスト特定型のデータベースを使用し、検査しようとする個々のエレメントの機能的なコンテクストに基づいて検査ビームを更に効率的に印加することもできる。
ブロック314では、検査312の間に見つかった欠陥が、コンテクスト特定型のマスク設計データ310との関連で評価される。更に、例えば機能性、クリティカリティ、優先度などの回路パラメータを含む回路のコンテクストに関して、それらの欠陥の影響が調べられる。後述の図4a〜4dに示すように、任意の欠陥は、欠陥の近くのマスクエレメントから得られる回路形態に対してネガティブの、又はポジティブの、あるいは取るに足らない程度の影響を有する、とすることができる。マスクの欠陥のいくつかは、マスクから作成される回路に対して重大なネガティブな影響を与えないことがあるので、基本的に「非欠陥」として無視することができる。「非欠陥」には、例えば、それらの機能を果たす上で正確な忠実度を必要としないマスクエレメントに隣接する欠陥、例えばロゴやエリア・フィルセルなどが含まれる。更に、欠陥の中にはポジティブな影響を有するものもあるので、無視するか又はマスク上に保持することができる。このような「ポジティブな欠陥」には、例えば散乱バー(scattering bar)で起こるように、マスクエレメントからある距離(例えば、レイリー距離)だけ離れたところに位置して、そのマスクエレメントのためのリソグラフィの焦点深度を高めるように作用するようなものなどが含まれる。このような非欠陥やポジティブな欠陥は、欠陥分析又は補修の目的に対しては、欠陥ではないと判断される。欠陥は、それが、回路の機能性の観点からであれ、製造上の歩留りの観点からであれ、信頼性その他のコンテクストの観点からであれ、様々なソフトウエア、予測アルゴリズム、そし欠陥の影響をモデル化するために必要な詳細な光学的、工程的、あるいはデバイスの物理特性から成るシミュレーションを使用して、その影響を予測することができる。
見つかった欠陥の評価314の後は、見つかった各欠陥を許容できるかどうかの判断316が行われる。ブロック314及び316では、見つかった各欠陥は、マスク設計及び検査データのコンテクストの中で許容できるかできないかが判断される。例えば、低優先度の回路エレメントに影響を与える欠陥、あるいは近接するマスクエレメントに対してポジティブな影響を有する欠陥は、許容可能と判断される。対照的な例として、回路の機能性にとってクリティカルなトランジスタに対してネガティブな影響を与える欠陥は、許容不可能とみなされる。欠陥の評価314と決定316に基づいて、マスクは補正され又は再度書き込まれか(318)、あるいは全面的に検査されて(320)、更なる使用のために許容される。
低k1領域におけるマスクの形態のフォトリソグラフィによるイメージングは、非常に複雑となる可能性がある。大部分の欠陥が解像度以下であるという事実にもかかわらず、この領域で起きる激しい非線形挙動は、直感的に示唆されるよりも大きな又は小さな影響を有する。これは、主に作用する物理的効果の性質のためである。リソグラフィ及び検査処理では、このような効果に、部分的なコヒーレンス現象、微小粒子による散乱、フォトレジストの露出、ブリーチング(bleaching)、そして現像及びプラズマエッチングが含まれる。ディスクリートなデバイスという意味では、トランジスタデバイスの物理特性及び寄生相互接続の効果も要因となる。IC製品の機能、歩留り、及び信頼性に対する欠陥の影響は、多くの場合に重要である。欠陥はほとんど又はまったく影響しない場合があり、たま、修復工程が別の欠陥を生じさせることもあるので、欠陥の修復は正当化されないだろう。別の時点では、特定の位置にある欠陥又は特定の構造に関連する欠陥が、特別な注意が正当化されるほど非常に重要な場合がある。従って、コンテクストで特定される情報を考慮したマスク検査工程を用いることによって、マスクの欠陥を正確に評価し、許容可能な欠陥を許容不可能な欠陥から正確に分離することができる。
図4a〜dは、図3に示した評価及び許容可能性工程314及び316の実行に使用したコンテクスト特定型の検査システムによって識別されうる潜在的なマスク欠陥の例を示す。3つの基本的な欠陥結果は、致命的(fatal)、許容可能(acceptable)、改善された(improved)とされる。これらの例は、回路エレメントのコンテクスト又は欠陥から影響を受けるエレメントに基づいて、欠陥の種類を識別することの重要性を示すために提供される。入射光線の波長に近い寸法のマスクエレメントは、リソグラフィシステムにおいて線形及び非線形の歪みの原因となることが多い。
図4aは、マスクエレメントの一例を示したものであり、ここには、近くにマスクの欠陥404があるFETトランジスタ・デバイス402が示されている。このような欠陥404は、上述のように、工程314及び316の実行の間にマスクを検査して見つけられたであろう。代わりに、このような欠陥404を、予測し又はシミュレートすることもできる。図4bは、リソグラフィ工程において近接欠陥406の効果に起因する光学歪みの結果として回路層に出現することがある、同じFETマスクエレメント402の上の形状408を示している。この回路エレメントがこの歪んだ形状のために許容できる誤差の範囲内で機能しない場合には、得られる回路形状408は致命的な欠陥と称されるだろう。図4cは、マスクエレメント402の上の形状412の例を示しており、これは近接欠陥410に起因して生じる光学歪みによって回路層に出現することがある。得られる回路412は、この歪んだ回路エレメント412が許容できる誤差の範囲内で機能する場合には、欠陥410の影響があったとしても許容可能とされる。図4dは、FETマスクエレメント402上のオーバーレイとして、近接欠陥414に起因して光学歪みの結果として回路層に出現することがある形状416の例を示している。得られる回路形状416は、欠陥414がないとして予測される回路エレメントからみて改良されており、従って許容可能とされうる。
前述のように、形態に対する欠陥の影響は必ずしもネガティブとは限らず、したがって一般に直感的に分かるものとは言えない。形態に近くかつ十分にコヒーレンス長さの範囲内にある欠陥に対しては、測定の単位及び相互作用距離の単位は、レイリー単位(Rayleigh unit)である(レイリー単位=ラムダ/NA、ここで、ラムダ=照射システムの波長、NA=投射レンズの開口数である)。形態のレイリー距離の所定数倍(X*ラムダ/NA)に位置する小さく不透明な付加的欠陥は、形態の歪みを生じさせる場合がある。しかし、この同じ形態からレイリー距離の異なる倍数(Y*ラムダ/NA)の距離に位置する形態は、形態を向上させることが実際にありうる。形態の縁部から更に移動すると、歪みは再度増えて、そしてまた減少しながら、周期的に漸減する。
このように、レイアウト形態の歪みは、回路性能、歩留り、又は信頼性を必ずしも定価させるとは限らない。相互接続のようないくつかのデバイスは、たとえデバイスがクリティカルであっても小さく局所的な逸脱からは影響を受けないので、いくつかの工程は、ある意味において本質的に自己回復的である。また他のデバイスは、最も小さな歪みによっても劇的に影響を受けるかもしれない。与えられた形態の特定のコンテクストにふさわしい作用的物理も考えられる。コンテクストは、回路の機能、製造工程、あるいは他のいくつかの用途にも関連する。従って、成功のための判断基準も当然異なる。マスク全体に亘って一様かつ一貫して適用されてきた単純な規則の古いパラダイムは、k1が高レベルで工程のもつれやデバイスの物理特性や回路作動が低レベルだった時にはその価値を有していたが、このようなパラダイムは、益々高コストとなり、有効性が低下している。従って、コンテクスト特定型のマスク検査工程を使用することによって、歪みが厳しいものでるか或いは相対的に無害であるかを効率的に判断することが可能となる。
図5は、コンテクスト特定型のマスク検査によって適用されるコンテクストベースのファクターとしてのリソグラフ結像レンズの収差の例を示している。このファクターは、使用されているリソグラフィシステムに特有の収差及び制約に関連している。このような収差は、図3に示した工程306、308、312、及び314のようなマスクの設計及び検査の工程に影響を与えることがあるが、それはこれらの工程で、コンテクストに特有のデータに基づいて、マスクを検査するためのマスク設計データや検査計画データが開発され、使用されるからである。コンテクストは、リソグラフィシステム内のマスクに対するリソグラフィによる予測可能な効果に関連するので、全てのシステムの結像収差は、回路層に投射されるそのマスクの予測されるイメージ及び実際のイメージに影響を与える。
一例として、サンプルのマスクエレメントのイメージ506、510、514を有する、回路ウェハー基板上のICチップ領域502を図5に示す。成形しようとしてイメージングされているマスクエレメントには、エレメント510で示した理想的な形状がある。このリソグラフィのレンズ収差の例では、結像レンズシステムの中心は、領域508の中心に配置する回路エレメント510に見られるように、歪みのないイメージを与える。しかし、イメージングフィールドの軸或いは中心から外れると、結像レンズシステムには非対称の収差が生じる。この収差は、領域504及び512それぞれの不正確な位置に回路エレメント506及び514を生成するとともに、それらの形状もエレメント510の理想的な形状から歪んだものとなる。コンテクスト特定型のマスク検査の実施形態は、コンテクスト特定型のマスク検査を実行する時に、リソグラフィシステムの収差を使用することができる。マスク及びその欠陥とのコンテクスト的な比較のための予測される回路イメージには、使用するリソグラフィシステム及びそれが含む結像レンズ収差に基づいたパラメータ及び予測が含まれる。従って、コンテクスト情報を、レンズ収差の効果を補正するために用いることができ、それによってリソグラフィシステムは、エレメント506及び514を適切な位置に適切な形状で生成するようにできる。
図6は、コンテクスト特定型マスク検査の検査工程で使用されるユーザインタフェースの実施形態の具体例を示している。このインタフェースの実施形態には、走査型電子顕微鏡、光学イメージング装置、あるいはマスクの直接測定によって生成されたマスクイメージ604が含まれており、そして、このマスクをフォトリソグラフィ工程で使用して得られると予測される回路エレメントの回路層でのイメージ606が重なって或いは隣接して含まれている。マスク上のマスク欠陥608に注目し、これを610、612といった近接する回路エレメント、及びそれらの関連するコンテクスト的な優先度、機能、クリティカリティ、そして寸法許容データに関して、回路層のイメージ内の予測された歪みと照合させることができる。
このインタフェースの実施形態では、608のような各マスクの欠陥について、マニュアルで使用するメニュー614が設けられ、システムのユーザーに対してマスクを検査し補正する場合における種々のオプションを提供することができる。ユーザーには、例えば:
・隣接する回路形態を識別する;
・マスク設計又は回路設計データベースからの隣接する回路形態に対するコンテクスト及び優先度データを取得する;
・欠陥に起因して起こり易い光学歪みを評価する;
・得られる回路レベルでのトポロジーについての欠陥の物理的影響を評価する;
・特定のリソグラフィシステムの情報、例えば結像レンズの収差、を組み込む;
といったオプションが提供され、或いは、ユーザーには、欠陥を調査し対処するのに用いるその他のアクションが提供される。
更に、種々の欠陥を評価するために、予め決められた判断基準を提供することもできる。このようなインタフェースは、関連する回路及びマスク設計データベースの全コンテクストの範囲内で、マスクとこれから得られる回路をより効率的に評価する可能性を提供することができる。
コンテクスト特定型のマスク検査の実施形態は、他の種々のプロセス及びテクノロジーに適用することができる。このようなプロセス及びテクノロジーには、例えば、書込システムの成形ビーム制御、マスク及びICの計測技術、集積光学回路(IOC)、微小電子機械システム(MEMS)、微小電子光学機械システム(MEOMS)、更に別の解像度強化技術(Resolution enhancement Technologies:RET)、解像度以下支援形態(SRAF)のような電子ビームシステムの高機能化、及びマスクレス電子ビームリソグラフィシステムが含まれる。マスクレス電子ビームリソグラフィ又は光学リソグラフィは、フォトマスクその他の従来型の投射フォトリソグラフィを使用しないで、ウェハーに直接回路パターンを書き込むための電子ビーム又はレーザ式システムを使用することを含んでいる。更に、コンテクスト特定型のマスク検査の実施形態は、シリコンをベースとした集積回路の設計及び製造に適用されるだけでなく、例えば、シリコン・オン・インシュレータ(SOI)、シリコン・オン・サファイア(SOS)、シリコン・ゲルマニウム、ガリウム砒素化合物などの回路技術にも適用することができる。更に、計測技術その他の測定技術もまた、次元数(1−D、2−D、又は3−D)に関係なく、コンテクスト特定型の検査技術の基づく検査工程から利益を享受することができる。従って、コンテクスト特定型の計測技術又はコンテクスト特定型の原子間力顕微鏡工程のような工程を、コンテクストによって特定される情報を用いて実行することができる。
例示的な実施例
コンテクスト特定型のマスク検査の実施形態の例示的な実施例として図7が提供される。この実施例がどのように動作するかを説明する助けとするために、設計データ、システムの工程、検査領域に関する情報の例を示す。図7は、ボックス領域759、760、762、764、766で示された種々の部分を有するガラス基板702からなるマスクの例を示している。ボックス領域759、760、762、764、766は、マスクエレメント及び製造増強形態の例である。これらのボックス領域はまた、図3の工程308に関連して説明したように、マニュアルで又はコンピュータ支援工程によって設定された検査領域の例としても役立つ。
ボックス759は、マスク702の空き領域の例を示す。この例示目的で、その部分にはこの部分についての物理的なレイアウトデータを持たないとする。その場合、そのマスク設計のコンテクストには、最低の優先度が割り当てられるか又は優先度が割り当てられなず、ボックス759によって定義される検査領域には、無検査とラベル付けされる。
ボックス760は、1組のエリア・フィルセルの例を示す。この例に関しては、ボックス760のフィールドは、クリアなフィールドであり、フォトレジスト工程はポジティブトーン(positive tone)であり、正方形パターン704がシリコン上に印刷されるものと仮定する。このダミー・フィル又はワッフル・パターンとも呼ばれるエリア・フィルパターンの目的は、標準的な化学機械研磨(CMP)工程を使用して平坦化を容易にすることである。影響が及ぶ物理学の領域は、フィル・パターンの効果が流体流量方程式、CMPパッドの力分布、その他の広範囲のオーダーの物理学に基づく領域であり、これはクリティカルな形態の寸法よりもずっと大きな数のオーダーである。これらの形態は、大部分が回路から切り離される。しかし、セルを充填するための相互接続ワイヤの寄生結合は、回路の作動に対して大きな影響を与えうる。これらの制約となる回路に関する物理は、寄生容量結合である。リソグラフィによるクリティカルな寸法の数分の1のオーダーの小さな寸法の変動は、回路形態、信頼性、又は歩留りに対して影響しない。従って、エリア・フィルセルには、回路全体の重要性を示す性能指数(figure of merit)の比較的小さい値、比較的低い重大度(severity)、及びマスクエラーに対する比較的低い感度が割り当てられるだろう。この低い優先度に基づいて、ボックス760に対して、より速い速度の、より低い解像度の、そして、より低い分析の検査が行われる。更に、ボックス760は、コンテクスト、低い検査解像度や低い検査分析といった優先度情報を使用して、検査領域を定義することもできる。
ボックス762は、ライン幅の制御と焦点深度を改善することを意図して設けられた散乱バー708及び710を有するエレメント706の例を示している。散乱バーはまた、ある程度の光学解像度の向上も同様に提供することができる。例示目的で、エレメント706はMOSFETゲートに対応させてあり、このゲートは回路の性能にとって重要であり、したがってその形状、縁部の定義、及び忠実度について厳格な仕様を有するより高い優先度のエレメントとされている。二つの散乱バーもまた高い優先度とされるが、その理由は、エレメント706に対して同じ間隔で平行に配置されるからである。この例では、フィールド762は、クリアフィールドのクロム・オン・ガラスマスクであり、フォトレジスト工程がポジティブトーンであると仮定する。ダークフィールドマスクを考慮する場合は、代わりに、ダークフィールドが、減衰PSMマスクの部分アブソーバーとなりうる。更に、ボックス762は、関連するコンテクスト及び、中程度の検査優先度や高い検査分析といった優先度情報を用いて、検査領域を定義することができる。この高い検査分析を用いて、欠陥を、トランジスタエレメント706上の欠陥の直接的な影響に基づくものであるか、散乱バー708、710のうちの一方の上の欠陥によるトランジスタエレメント706への間接的な影響に基づくものであるかを識別することができる。
ボックス764は、位相バックグラウンドが0度のクリアフィールド上のクロムライン712に隣接した90度位相シフト領域714と、270度位相シフト領域716がある例を示している。位相シフト領域714及び716は、ライン712に対してネガティブな影響を与えることがある光学歪みを打ち消すことを意図して設けられている。ここで、エレメント712は、中程度のクリティカルなMOSFETトランジスタに対応したものとすることができる。これらの形態の分類及び優先順序づけにおいて考慮される作用的物理は、主として、リソグラフィのイメージング効果、固有の要件、そして単一露出altPSM及び短チャンネルMOSFETのデバイス物理の効果である。マスクのパターニングにおける誤差の最終的な回路への影響は、波長よりかなり小さいリソグラフィによるプリンティングの高度に非線形な性質に、例えば非線形チャンネル長対電圧閾値の関係が組み合わさって、さらに増大する。位相シフト領域のサイズは、エッチングの深さ及びアンダーカットと同様に、重要である。例示目的で、エレメント712は高い優先度のエレメントであって、非クリティカルなマスク領域に位置し、厳格な寸法及び位置を有し、高い解像度で低い分析という仕様とする。エレメント714及び716は、より低い優先度及び位置及び寸法について相対的に緩い要件を有している。更に、ボックス764は、高い検査優先度及び低い検査分析といった、関連するコンテクスト及び優先度情報を用いて検査領域を定義することができる。このような検査のコンテクスト及び優先度情報が使えるのは、エレメント712が優先度の高いエレメントだからである。従って、これに影響を与える全ての欠陥は、それがどのように詳細に分析されようと有害であると考えられる。
ボックス766は、「光学近接補正(Optical Proximity Correction:OPC)」エレメント720、722、724、726、728、730を有するMOSFETゲートエレメント718の例を示している。OPCエレメントは、ゲートエレメント718近辺の「光学近接効果(OPE)」歪みを補償することを意図して設けられている。この例示目的として、エレメント718は、クリティカルな回路機能に関連するクリティカルなマスクエレメントであるとする。これは、クリティカルな回路領域に配置され、厳格な寸法及び位置の要件を有する。OPCエレメント720、722、724、726、728、730は、回路機能を持たず優先度は低いが、狭い仕様の範囲内に配置され成形される。この例示目的として、エレメント718は、厳格な寸法及び位置の要件でクリティカルな領域に高い優先度を有し、高い解像度と分析仕様を有するものとする。
更に、ボックス766は、関連するコンテクストと、高い検査優先度及び高い検査分析を含む優先度情報を用いて、検査領域を定義することができる。この検査のコンテクストと優先度がそのように定義されるのは、エレメント718が高い優先度のエレメントであり、そのOPCエレメントが正確な位置を要求するからである。この例で検査分析が高いとされる理由は、欠陥が最終的な回路形状に直接影響するのか、あるいは最終的な回路機能は影響されずOPCエレメントだけに影響するかに基づいて、欠陥を識別できるからである。
別の実施例として、ボックス764とボックス766の両方を、高検査解像度を有する1つの検査領域にあると考えることができる。その場合、検査領域は高い検査解像度を有するだろうが、上述のように、それぞれ低及び高分析に対応するボックス764及び766によって定義される異なる検査分析領域のためのサブ領域を有することになるだろう。
実施形態の一例として、図7のボックス領域759は、マスク基板702上の空白の領域を示す。あるいは代わりに、ボックス759は、回路ロゴその他の非機能的なエレメントのような、非常に低優先度のいくつかのマスクエレメントを包含することができる。次に、ボックス759が、設計情報又はそれに対して識別されるコンテクストパラメータをほとんど又は全く有さず、あるいは少なくともボックス759のエレメントのすべてのコンテクストパラメータが最も低いコンテクスト優先度である場合を考えてみる。そうすると、ここには非検査領域であるとのラベル付けがなされるか、あるいは、その低い優先度が欠陥検査を行うことを必要としないことを示す同様のラベル付けがなされる。しかしながら、一般に、この領域の欠陥が十分に大きいと、回路への影響又は工程への影響を引き起こすことがあるということに注意すべきである。重なりあうワイヤまたは隣接する形態には、寄生相互作用が起きる場合がある。このような問題には、それを正当化する条件が満足される場合は、検査において回路を混乱させる、又は工程を混乱させる欠陥を捕捉するのに相応しく、かつ、適切な回路又は工程のコンテクストにおいて欠陥を注意深く解釈するのに相応しいほど精細な解像度を指定することによって対応することができる。このようなことは、次の例が示すように、条件を、応答規則又は許容値と比較するテーブルを使用することによって行うことができる。
これらの例のコンテクストパラメータを念頭に置いて、図3及び図7を参照すると、この例に適用される実施形態は、マスク検査の工程を改善するために以下のような方法及びシステムを使用することができる。この例では、ボックス759を空白のスペースと考えると、ボックス759は、これに直接適用されるマスク設計工程302を有さず、従ってマスク設計情報304内のデータエレメントには、これに結びつけられるポリゴン形状、位置、向き、あるいはコンテクスト情報を持たない。
ボックス領域759に対するこのような制約された或いは実在しない設計データによって、分析ブロック306は制約されうる。ボックス759に対する任意のコンテクスト又は設計情報、或いはそのような情報がないという事実は評価され、そして、そのマスク優先度が、マニュアルで又はコンピュータ支援の自動工程によって決定される。この分析ブロック306において、空白スペースのため、残りのマスクエレメントに対する検査コンテクストと優先度を判断するために、とりわけ、優先度、クリティカリティ、寸法、位置、及び欠陥に対する感度に対する判断基準と比較するために利用できるデータがないので、分析はなされないだあろう。しかし、一般に、この領域759にある欠陥は、それが十分に大きい場合は、回路への影響又は工程への影響の原因になることに注意すべきである。ワイヤの重なりや隣接形態に対して寄生相互作用が起こりうるので、このような潜在的な効果を評価するために、何らかの分析306を適用することができる。従って、分析ブロック306は、ボックス759をどの順序で検査するか、あるいは検査しないか、そしてどのような解像度及び分析で検査するかを決定することができる。
コンテクスト及び優先度分析306のデータは、次に、検査領域分析モジュール308へ渡され、ここで隣接する、あるいは近接するマスクエレメントを用いて個々のマスクエレメントのデータが調べられ、マスクエレメントについて、類似の検査パラメータ又は分析パラメータを有する検査領域への潜在的なグループ分けを決定する。この分析308は、マニュアルで、或いは種々の基準を適用した自動化工程で行うことができる。ボックス859にはマスクエレメントが存在しないので、分析ブロック408では、ボックス859を検査領域として定義することができる。その場合、非検査領域としてラベル付けされるか、又はその最低の優先度であり、その領域を検査しないとの決定を示すラベル付がなされる。
コンテクスト及び優先度分析306のデータ及び検査領域のパラメータ308は、ボックス759によって定義された検査領域についての初期の設計データ302に対して、ブロック310において追加されるか又はここで形成される。この例では、このことは、ボックス759が一緒に識別された上述の位置、コンテクスト、及び検査情報を有することを意味する。具体的には、検査領域859のコンテクスト及び優先度をマスク検査の計画に反映させるために、上述のボックス759の例のコンテクスト情報に基づいて、設計データにデータを追加するか又は構成することができる。一例として、設計又は検査ファイルは、ボックス759のために以下のような種々のフィールド及び識別子を含んでいる。すなわち:
・回路機能=なし(ここで、潜在的な値又は識別子には、例えば、なし、MOSFET、クリティカルな信号相互接続、電力、又はロゴが含まれる)、
・回路機能優先度=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・回路クリティカリティ=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又はクリティカルが含まれる)、
・検査解像度=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・検査分析=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・相対的マスク優先度=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・処理目的=なし(ここで、潜在的な値又は識別子には、例えば、CMP充填マスク、クリティカルな形態マスク、OPC形態、PSM形態、支援形態、又はなしが含まれる)、
・クリティカルな工程(推定物理特性)=なし(ここで、潜在的な値又は識別子には、例えば、CMP、リソグラフィ、マスク、又はなしが含まれる)、
・寸法及び形状忠実度=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・相対位置重要性=低(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、
・回路値=なし(ここで、潜在的な値又は識別子には、例えば、なし、低、中間、又は高が含まれる)、そして
・検査情報?=なし(ここで、潜在的な値又は識別子には、例えば、必要に応じて注釈を付けたイエス又はノーが含まれる)。
特定のフォーマット又は用語は必要とされない。例えば、これらとは異なるスケール或いは他の定量的又は定性的な値を使用してもよい。
この改善されたマスク設計データはマスク検査システムによって使用され(312)、前述のコンテクスト及び優先度に従って、マスク基板に対して検査ビーム、イメージ捕捉、及び分析をより効率的に適用することができる。例えばマスク検査システム312は、ボックス759に対して時間、エネルギ、又は分析を適用しないように指示される。こうすることにより、検査システム312は、空白又は最低優先度のマスクフィールドを避けながら、より迅速かつ効率的に動作することができる。逆に、ボックス759に、隣接する領域への回路的な影響又は工程上の影響を引き起こす潜在的な欠陥の懸念がある場合には、それを正当化する条件が満足される場合は、検査において回路を混乱させる、又は工程を混乱させる欠陥を捕捉するのに相応しく、かつ、適切な回路又は工程のコンテクストにおいて欠陥を注意深く解釈するのに相応しい精細な解像度を指定することができる。
実施形態の別の例として、図7のボックス領域760は、複数のエリア・フィルセルの形状を示し、その1つがマスク基板702上の704である。例示的なフィルセル704が、空間を充填するだけのために、回路の非クリティカルな領域に配置されている場合を考える。更に、エレメント704がインテリジェントなマスク検査のために、非常に低い優先度、非クリティカルな寸法と位置、マスクの書込欠陥に対する高い許容値、低い検査解像度、及び低い検査分析を含む、種々の関連コンテクストパラメータを有するとする。704のようなフィルセルをマスクに追加することによって、電気的に動作する回路の一部ではないが、むしろその回路層の形態上の平面化と空間的均一性とを改善する1組の構造をチップ上に生成することができる。
これらの例のコンテクストパラメータを念頭に置いて、図3及び図7を参照すると、この例に適用される実施形態は、マスク検査の工程を改善するために以下のような方法及びシステムを使用することができる。ボックス領域760内のマスクエレメント704その他のフィルセルを、IC設計工程302において設計することができる。エレメント704に着目すると、そのポリゴン形状、位置、方向、及びコンテクスト情報、例えば、その結果的に得られる回路エレメントの機能及びクリティカリティを、ハードディスクのようなコンピュータ読取可能なメディア上のデータベースに格納されたデータファイル304内の複数のデータエレメントによって記述することができる。そして、これらはソフトウエア又はハードウエアを介して、あるいは多くの場合にインターネット接続を介して、例えばftpフォーマットで、マスク検査設計工程306〜310に渡される。具体的には、マスクエレメント704及びそのコンテクスト情報は、分析ブロック306へ渡され、そこで、そのコンテクストが評価され、そして、そのマスク検査優先度、解像度、及び分析レベルが、マニュアルで又はコンピュータ支援の自動化工程によって決定される。この分析ブロック306において、非クリティカルなマスク領域における非常に低優先度レベルのエレメントとして、ほとんど更なる分析を行わずに、標準的な検査システムパラメータと許容値を適用することができる。これとは別に、とりわけ、優先度、クリティカリティ、寸法、位置、及び欠陥に対する感度のための基準を、マニュアル又は比較の自動化工程を通じて704のためのデータに適用して、他のマスクエレメントに対する検査コンテクストと優先度を決定することができる。換言すれば、分析ブロック306は、どの順序で704を検査するか、そしてどのような解像度及び分析で検査するかを決定する。
コンテクスト及び優先度分析306のデータは、続いて検査領域分析モジュール308に渡され、ここで、隣接する又は近接するマスクエレメントを用いて個々のマスクエレメントのデータを審査し、類似の検査パラメータを有する検査領域へのマスクエレメントの潜在的なグループ分けを決定する。この分析308は、マニュアルで、あるいは種々の基準を適用する自動又はコンピュータ支援の工程によって行うことができる。このような分析ブロック308では、エリア・フィルセル704は、同じ低い検査解像度及び分析コンテクストを有するマスクエレメントとして、ボックス760によって定義された検査領域に一緒にグループ分けされる。
続いて、コンテクスト及び優先度分析306のデータ及び検査領域の分析データ308は、マスクエレメント704に対する初期の設計データ302に対して、ブロック310において追加されるか又はここで形成される。この例では、このことは、マスクエレメント704が一緒に特定されたそのポリゴンの、位置の、そしてコンテクストの情報を有し、更に、ボックス760にある他のフィルセルと共に、同じ検査領域の一部として特定されることを意味する。具体的には、上記704のための一例のコンテクスト情報に基づいて、マスク検査の計画に、コンテクスト、優先度、解像度、分析、及びエレメント704の検査領域を反映させるために、データを設計データに追加するか又はそこに構成することができる。一例として、設計ファイルは、以下のような種々のフィールド及び識別子を包含することができる。すなわち、
・回路機能=なし、
・回路機能優先度=低、
・回路クリティカリティ=なし、
・検査解像度=低、
・検査分析=低、
・相対的マスク優先度=なし、
・処理目的=CMPフィルマスク、
・クリティカルな工程(推定物理特性)=CMP、
・寸法及び形状忠実度=低、
・相対位置重要性=低、
・回路値=低、
・検査情報?=ノー、
・検査領域=イエス、領域760(ここで、潜在的な値又は識別子には、例えば、検査領域識別子を伴ったイエス又はノーが含まれる)。
特定のフォーマット或いは用語は要求されない。例えば、上記とは異なるスケール、或いは他の定量的又は定性的な値を使用することもできる。種々の識別子のカテゴリーの例についてのさらに別の例示的なフィールド値については、前述の領域759に付随して示したテキストを参照することができる。更に、領域760のデータが最も効率的かつ効果的に検索されるようマスクデータベース内に領域760のデータを配置するように、データベースは再度順序付けされる。
この改善されたマスクの設計データは、マスク検査システム312によって用いられ、前記コンテクスト及び優先度に従って、検査ビーム、イメージ捕捉、及び分析がより効率的にマスク基板に適用される。マスク検査システム312は、一例として、できるだけ少ない時間、エネルギ、及び解像度をエリア・フィルセルに適用するようにし、かつ他のエレメントについての処理が終了した後にこれらのエレメントを検査するようにとの指示がなされる。エレメント704は、例えば、高い優先度のエレメント(ボックス領域764及び766にあるものなど)が、より高い解像度及び高い分析で検査された後に検査されるだろう。このようにすると、例えばボックス766のようなより高い優先度の領域又はエレメントで許容できない欠陥が見つかった場合は、検査が直ちに中断され、同時に判断工程316が実行されて、マスク318を修復するか又は再書込するかが判断される。
エレメント704は、その優先度が低いため、以下の例のような特別にあつらえた要件ではなく、標準の検査システムパラメータと許容値を使用して、検査することができる。これらのパラメータを用いて、潜在的にチップを破壊してしまうような欠陥の領域の階層ツリーを注意深く検討することに、検査システムはそのリソースを充てることができる。このような欠陥の領域は、欠陥が見つかった場合に回路や工程の破壊的な欠陥を生じさせる可能性が非常に高い領域である。欠陥が実際に見つかった場合は、その欠陥が形態とどのように相互作用するか、そして回路又は工程において混乱がどのように影響するかといった作用的物理に関する知識が利用できる。
下記のテーブルに示した形態の欠陥及び許容範囲についての単純なルールを、非影響的な欠陥を排除するためのスクリーニングに用いることができ、欠陥の厳格さについては、回路及び工程のコンテクストに従って別のルールで特定することができる。デザインルールとの類推により、レイアウト、種々の条件、そして割り当てられた許容範囲のルールをチェックすることによって、マスク検査・計測システムは、特定の目的(すなわち回路又は製造の目的)に必要とされるのと同程度の厳格さの許容範囲を適用することができる。
(表)
Figure 2006502422
組み込まれた工程及びデバイス物理TCADツールを備えた或いは回路分析ツールを備えた欠陥分析ワークステーションを使用して自動で或いはマニュアルで実行された適切な分析は、欠陥を、修復が必要、放置できる、修復不能のいずれか一つに分類するのに用いることができる。
更なる一例として、図7のボックス領域762は、隣接する散乱バー708と710を有するMOSFETゲート706を示す。マスクエレメント706が、得られる回路の作動とって、重要ではあるがクリティカルではない接続エレメントという場合を考える。エレメント706は、インテリジェントなマスク検査のための種々の関連するコンテクスト・パラメータを有し、これには例えば、中程度の優先度、比較的単純な形状、回路の非クリティカルな領域における位置、明確性さ(definiteness)、まっすぐさ(straightness)や一様性(uniformity)に対する特定の要件、マスク書込欠陥に対する低い許容範囲、中程度の検査解像度、そして高い検査分析要件が含まれるものとする。エレメント708及び710は、マスクエレメントの周囲で光学効果を生成するように計算された距離に設定され、ウェハー表面上でリソグラフィシステムのライン幅制御と焦点深度を改善する。散乱バー708及び710には高い優先度が与えられるが、その理由は、これらの配置の誤りや形状の欠陥が、機能的なマスクエレメント706や回路のその他のエレメントに対して重大なネガティブな影響を与えることがあるからである。エレメント708及び710は、関連する種々のコンテクスト・パラメータ、例えば高い優先度と厳格な寸法、位置及び相対的な方向の要件、中程度の検査解像度、高い検査分析要件、及び回路機能なし、を含むものと考える。
これらの例示的なコンテクストのパラメータを念頭に置いて、図3及び図7を参照すると、この例に適用される実施形態は、マスク検査の工程を改善するために以下のような方法及びシステムを使用することができる。マスクエレメント706及び散乱バー708、710は、IC設計工程302において設計することができる。エレメント706に着目すると、そのポリゴン形状、位置、方向、得られる回路エレメントの機能及びクリティカリティといったコンテクスト情報は、データファイル304内の多数のデータエレメントによって記述される。データファイルは、ハードディスクなどのコンピュータ読取可能なメディア上のデータベースに保持され、ソフトウエア又はハードウエアを通じて又は多くの場合にインターネット接続を介して、例えばftpフォーマットで工程306〜310などのマスク検査設計工程に渡される。
具体的には、マスクエレメント706とそのコンテクスト情報は、まず分析ブロック306に渡され、ここでそのコンテクストが評価され、マスク検査優先度、解像度、及び分析レベルが、マニュアルで又はコンピュータ支援の自動化工程によって決定される。分析工程306では、コンテクスト特定型の情報が用いて、マスクエレメント706を中程度の解像度のエレメントとして特定する。工程306は、分析の最に標準の検査システム及び分析パラメータを適用する。あるいは、優先度、クリティカリティ、寸法、位置、及び欠陥に対する感度についての基準を、マニュアルで又は比較の自動化工程を通してエレメント706に適用することができ、これにより、他のマスクエレメントに対する検査コンテクストと優先度を決定することができる。換言すれば、分析ブロック306は、どういう順序706を検査するか、また、どういう解像度と分析で検査するかを決定する。
コンテクスト及び優先度分析データ306は、次に検査領域分析モジュール308に移り、ここで隣接する又は近接するマスクエレメントを用いて個々のマスクエレメントのデータが調べられ、マスクエレメントについて類似の検査パラメータを持った検査領域への潜在的なグループ分けを決定する。この分析308は、マニュアルで又は様々な判断基準を適用する自動又はコンピュータ支援工程によって行うことができる。分析ブロック308では、ラインエレメント706及び散乱バー708、710は、同じ中程度の検査解像度と高い分析コンテクストを有するマスクエレメントとして、ボックス762で定義される検査領域に、一緒にグループ分けされうる。コンテクスト検査要件が類似の他の隣接するマスクエレメントも、同様に含むことができる。
続いてコンテクスト及び優先度分析データ306並びに検査領域データ308は、ブロック308において、マスクエレメント706についての初期設計データ302に対して追加されるか、またはここで構成される。このことは、この例では、マスクエレメント706が、散乱バー708、710などの他のエレメントから識別されるように、その寸法、位置、コンテクスト、及び優先度データによって特定されることを意味するであろう。更に、マスクエレメント706は、その検査領域762において他のエレメントと一緒に特定される。
具体的には、エレメント706のコンテクスト及び優先度をマスク検査の計画に反映させるために、前記例示のコンテクスト情報に基づいて、設計データにデータを追加するか又はそこに構成する。一例として、設計ファイルは、以下のような706の様々なフィールドと識別子を包含している:
・回路機能=MOSFET;
・回路機能優先度=中程度;
・回路クリティカリティ=中程度;
・検査解像度=中程度;
・検査分析=高;
・相対的マスク優先度=中程度;
・プロセスの目的=機能的マスク形態;
・クリティカルなプロセス(推定される物理)=リソグラフィ;
・寸法及び形状の忠実度=高;
・相対位置重要性=中程度;
・回路値=中程度;
・検査情報?=イエス、708と710を同時に検査する(これらを比較するときに);
・検査領域=イエス、領域762。
特定のフォーマット或いは用語は要求されない。例えば、上記とは異なるスケール、或いは他の定量的又は定性的な値を使用することもできる。種々の識別子のカテゴリーの例についてのさらに別の例示的なフィールド値については、前述の領域759に付随して示したテキストを参照することができる。
更に、散乱バー708及び710も、以下に示すような、これらの機能、クリティカリティその他の関連するコンテクストデータを反映する、同様のそれぞれのデータフィールド及び値を有している。例えば:
・相対的マスク優先度=高;
・回路機能=なし;
・処理目的=OPC;
・寸法及び形状の忠実度=高;
・相対位置重要性=高;
・検査解像度=中程度;
・検査分析=高;
・検査領域=イエス、領域762。
更に、領域762のデータが最も効率的かつ効果的に検索されるようマスクデータベース内に領域762のデータを配置するように、データベースは再度順序付けされる。
この改善されたマスクの設計及び検査計画のデータは、マスク検査システム312によって用いられ、前記コンテクスト及び優先度に従って、検査ビーム、イメージ捕捉、及び分析がより効率的にマスク基板に適用される。例えば、マスク検査システム312は、与えられた高い優先度に応じて、散乱バー708及び710に対して、機能的エレメント706と同程度又はそれ以上の時間、エネルギー、及び解像度を適用するように指示される。また、検査情報に従って、エレメント708と710は、一緒に検査される。更に、エレメント706は、重要であるがクリティカルではなく、また中程度のマスク優先度であることから、優先度がより高い他のエレメント(ボックス領域764又は766にあるエレメントなど)の後に検査される。
この方法では、例えば、ボックス766のようなより高い優先度の領域で許容できない欠陥が見つかった場合、マスクの修復又は再書込み(318)を行うか否かの判断(316)のために、検査を直ちに中断することができる。エレメント706は、より高い優先度のエレメント又は検査領域と比較して、迅速に、あるいはより少ないエネルギで検査される。これらのパラメータを用いて、潜在的にチップを破壊してしまうような欠陥の領域の階層ツリーを注意深く検討することに、検査システムはそのリソースを充てることができる。このような欠陥の領域は、欠陥が見つかった場合に回路や工程の破壊的な欠陥を生じさせる可能性が非常に高い領域である。欠陥が実際に見つかった場合は、その欠陥が形態とどのように相互作用するか、そして回路又は工程において混乱がどのように影響するかといった作用的物理に関する知識が利用できる。
下記のテーブルに示した形態の欠陥及び許容範囲についての単純なルールを、非影響的な欠陥を排除するためのスクリーニングに用いることができ、欠陥の厳格さについては、回路及び工程のコンテクストに従って別のルールで特定することができる。デザインルールとの類推により、レイアウト、種々の条件、そして割り当てられた許容範囲のルールをチェックすることによって、マスク検査・計測システムは、特定の目的(すなわち回路又は製造の目的)に必要とされるのと同程度の厳格さの許容範囲を適用することができる。





(表)
Figure 2006502422
組み込まれた工程及びデバイス物理TCADツールを備えた或いは回路分析ツールを備えた欠陥分析ワークステーションを使用して自動で或いはマニュアルで実行された適切な分析は、欠陥を、修復が必要、放置できる、修復不能のいずれか一つに分類するのに用いることができる。
実施形態の更に別の例として、図7のボックス領域764は、機能的トランジスタエレメント、例えばMOSFETトランジスタ、を回路ウェハー上に生成することを意図したマスクエレメント712を示しておいる。このトランジスタは、回路を機能させるために重要であり、かつ、中程度のクリティカリティを有するものである。エレメント712がインテリジェントなマスク書込のための対応する種々のコンテクストの設計パラメータを有しており、これには、高い優先度、微細な寸法仕様、回路の非クリティカル領域内の位置、中程度の忠実度の許容範囲、マスク書込欠陥に対する中程度の感度、高い検査解像度、及び中程度の検査分析を含む場合を考える。
エレメント714及び716は、エレメント712に対する「位相シフトマスク(PSM)」の形態であり、714及び716の位相シフト特性は、それぞれ90度と270度である。このようなPSMの形態は、マスクの形態の少なくとも一方の側面に当たる光の位相を変えて、ウェハー上で得られるイメージの解像度及び焦点深度が改善されるよう光学干渉現象を制御するために、追加することができる。これらのPSMエレメントは、低い優先度、低い忠実度要件、マスク書込欠陥に対する低い感度、高い検査解像度、低い検査分析、そして、非回路機能といった、それら自身の種々の関連するコンテクストの設計パラメータを有するものとする。
これらの例のコンテクストのパラメータを念頭に置いて、図3及び図7を参照すると、この例に適用される実施形態は、マスク検査の工程を改善するために以下のような方法及びシステムを使用することができる。マスクのエレメント712及びPSMの形態714及び716は、IC設計工程302において設計される。712に対するポリゴン形状のデータは、位置、方向、そして回路エレメントの機能及びクリティカリティといったその他のコンテクストの情報と共に、ハードディスクなどのコンピュータ読取可能なメディアに保持されたデータファイル304の中の多数のデータエレメントによって記述され、ソフトウエア又はハードウエアを介して、又はインターネット上で例えばftpプロトコルによって、マスク設計工程306〜310に渡される。
具体的には、マスクエレメント712とそのコンテクストの情報が分析ブロック306に渡され、ここでそのコンテクストが評価され、その優先度が、マニュアルで又はコンピュータ支援の自動化工程によって決定される。この分析ブロック306において、そのエレメントのマスク検査のコンテクスト及び優先度を他のマスクに関連して決定するために、高い優先度のエレメントとして、とりわけ、優先度に対する判断基準、クリティカリティ、寸法、位置、及び欠陥に対する感度が、マニュアルで又は比較の自動化工程によって、712に適用される。換言すれば、ブロック306は、どういう順序で、そしてどういう解像度と分析でエレメント712を検査するかを決定する。
コンテクスト及び優先度分析306のデータは、検査領域分析モジュール308に渡され、ここで、個々のマスクエレメントのデータは隣接又は近接するマスクエレメントを用いて調べられ、マスクエレメントについて類似の検査パラメータを持った検査領域への潜在的なグループ分けを決定する。この分析308は、マニュアルで又は様々な判断基準を適用する自動又はコンピュータ支援工程によって行うことができる。このような分析ブロック308では、ラインエレメント712及びPSMエレメント714、716は、同じく高い検査解像度及び中程度の検査分析コンテクストを有するマスクエレメントとして、ボックス764によって定義される検査領域に一緒にグループ分けされる。ここには、類似のコンテクスト検査要件を有する他の隣接するマスクエレメントを含むこともできる。
このことは、マスクエレメント704が一緒に特定されたそのポリゴンの、位置の、そしてコンテクストの情報を有し、更に、ボックス760にある他のフィルセルと共に、同じ検査領域の一部として特定されることを意味する。具体的には、上記704のための一例のコンテクスト情報に基づいて、マスク検査の計画に、コンテクスト、優先度、解像度、分析、及びエレメント704の検査領域を反映させるために、データを設計データに追加するか又はそこに構成することができる。一例として、設計ファイルは、以下のような種々のフィールド及び識別子を包含することができる。
コンテクスト及び優先度分析データ306と検査領域データ308は、上述のマスクエレメント712の初期の設計データ302に対して、ブロック310に追加されるか又はそこに形成される。この例では、このことは、マスクエレメント712が、そのポリゴン、位置、コンテクスト、及び優先度データによって、隣接するPSMエレメント714及び716から区別され、これらよりも高く優先度付けされるように識別されるものとして、特定されることを意味する。更にマスクエレメント712は、検査領域764内の他のエレメントと一緒に特定されてもよい。
具体的には、前述の712についての一例のコンテクスト情報に基づき、エレメント712のコンテクストと優先度を反映し、そのマスクを検査するためのコンテクストの検査計画として役立つように、データは、マスクの設計データに追加され、又はここに形成される。一例として、712のための設計ファイルは、下記のような種々のデータフィールド及び識別子を含むことができる:
・回路機能=MOSFET;
・回路機能優先度=高;
・回路クリティカリティ=中程度;
・相対的マスク優先度=中程度;
・工程の目的=機能的マスク形態;
・クリティカルな工程(推測される物理)=リソグラフィ;
・検査解像度=高;
・検査分析=低;
・寸法及び形状の忠実度=高;
・相対位置重要性=中程度;
・回路値=中程度;
・検査情報?=ノー;
・検査領域=イエス、領域764。
種々の例示的な識別子のカテゴリーの例についてのさらに別の例示的なフィールド値については、前述の領域759に付随して示したテキストを参照することができる。
更に、PSMの形態714及び716は、それぞれ、その機能、クリティカリティ、及び他の関連するコンテクストデータを反映した類似のデータフィールド及び値を含んだ自分自身のデータを有していてもよい。そのようなものとして例えば以下のようなものが考えられる:
・回路機能=なし;
・回路機能優先度=低;
・回路クリティカリティ=なし;
・工程の目的=PSM形態;
・クリティカルな工程(推定される物理)=リソグラフィ;
・検査解像度=高;
・検査分析=低;
・検査領域=イエス、領域764。
特定のフォーマットや用語は必要とされない。例えば、上記とは異なるスケール、或いは他の定量的又は定性的な値を使用することもできる。更に、データベースを再順序付けして、領域764のデータをそれが最も効率的で有効に検索されるマスクデータベース内の領域又は順序に配置することができる。
この改善されたマスクの設計データは、マスク検査システム312によって用いられ、前記コンテクスト、優先度、改善された形態、およびビーム形状に従って、検査ビーム、イメージ捕捉、及び分析がより効率的にマスク基板に適用される。例えば、エレメント712は、中程度の優先度を有し重要ではあるが、766よりもクリティカリティが低いので、より高い他の優先度のエレメント(ボックス領域766にあるものなど)の後に検査される。こうして、より高い優先度の領域で許容できない欠陥が見つかった場合は、分析314および継続するか新たなマスクの書き込み再開するかという決定316のために、検査を直ちに停止することができる。エレメント712は、より高優先度のエレメントよりも高速に検査することができる。
更に、機能的マスクエレメント712と、PSMの形態714及び716のような低優先度エレメントとの間の識別に基づいて、マスク検査システム312に対し、より多くの時間とより高い解像度とをエレメント712に適用するよう指示することができる。マスクエレメント712および所望の回路のコンテクストにおいて、PSMの形態は、機能的エレメント712のためにリソグラフィシステムの焦点深度を改善するという役割を果たすだけなので、それらの値は、エレメント712に対するそれらの影響から導かれる。そして、PSMエレメント714及び716は、より低い解像度又は分析で書き込むことができる。
これらのパラメータを用いて、潜在的にチップを破壊してしまうような欠陥の領域の階層ツリーを注意深く検討することに、検査システムはそのリソースを充てることができる。このような欠陥の領域は、欠陥が見つかった場合に回路や工程の破壊的な欠陥を生じさせる可能性が非常に高い領域である。欠陥が実際に見つかった場合は、その欠陥が形態とどのように相互作用するか、そして回路又は工程において混乱がどのように影響するかといった作用的物理に関する知識が利用できる。領域760、762についての前述のテーブルに類似した単純なルールを、非影響的な欠陥を排除するためのスクリーニングに用いることができ、別のルールが、欠陥の正しい厳格さを特定する。組み込まれた工程及びデバイス物理TCADツールを備えた或いは回路分析ツールを備えた欠陥分析ワークステーションを使用して自動で或いはマニュアルで実行された適切な分析は、欠陥を、修復が必要、放置できる、修復不能のいずれか一つに分類するのに用いることができる。
コンテクスト特定型のマスク検査の実施形態の最後の例として、図7の766のボックス領域について説明する。こにはは、最終的な回路の機能に対してクリティカルである機能的トランジスタエレメント(例えば、MOSFETゲート)を回路ウェハー上に生成することを意図したマスクエレメント718が示されている。エレメント718は、高い優先度、微細な寸法、回路のクリティカルな領域の位置、高い忠実度の仕様、マスク書込欠陥に対する高い感度、及び高い検査解像度及び分析要件を含むインテリジェントなマスク書込のための様々な関連するコンテクストのパラメータを有する場合を考える。エレメント720、722、724、726、728、及び730は、マスク基板702上のエレメント718に対する「光学近接補正(OPC)」の形態である。このような付加的な形態を用いるのは、マスクエレメントを予め歪ませ、このエレメントの周囲に光を透過させることによって、意図した回路の改善されたイメージがウェハー表面に生成されるようにするためである。これらのOPCエレメントは、中程度の優先度及び厳格な寸法及び位置仕様、中間の検査解像度、及び高い検査分析を含む関連するコンテクストのパラメータを有しているが、回路の機能は有さないとする。
これらの例示的なコンテクストのパラメータを念頭に置いて、図3及び図7を参照すると、この例に適用される実施形態は、マスク検査の工程を改善するために以下のような方法及びシステムを使用することができる。マスクエレメント718及びそのOPC形態(720〜730)は、IC設計工程302において設計される。エレメント718に着目すると、そのポリゴン形状、位置、方向、及び、回路エレメントの機能、クリティカリティ、優先度などの他のコンテクスト情報は、ハードディスクなどのコンピュータ読取可能なメディアに保持されたデータファイル内の複数のデータエレメントによって記述され、ソフトウエア又はハードウエアを通して、あるいは多くの場合にインターネット上で例えばftpプロトコルによって、マスク設計工程306〜310に渡される。
マスクエレメント718とそのコンテクスト情報は分析ブロック306に渡され、そこで、そのコンテクストが評価され、そしてマスク検査の優先度が、マニュアルで又はコンピュータ支援の自動化工程によって決定される。このような分析ブロック306では、高い優先度エレメントとして、優先度の基準、クリティカリティ、寸法、位置、および欠陥に対する感度が、マニュアルで又は比較の自動化工程によって718に適用され、これによって、このマスク検査のコンテクスト及び優先度が、他のマスクエレメントとの関連で決定される。換言すれば、ブロック306は、どのような順序及びどのような精度で718が書き込まれるかを決定する。
コンテクスト及び優先度分析306のデータは、続いて検査領域分析モジュール308に渡され、ここで、隣接する又は近接するマスクエレメントを用いて、個々のマスクエレメントのデータが調べられ、マスクエレメントについて類似の検査パラメータを持った検査領域への潜在的なグループ分けを決定する。この分析308は、マニュアルで又は種々の基準を適用する自動工程によって行うことができる。この分析ブロック308では、ラインエレメント718と、OPCエレメント720、722、724、726、728、730とは、同様の高い検査分析コンテクスト及び中程度から高程度の検査解像度パラメータを有するマスクエレメントとして、ボックス766によって定義される検査領域に一緒にグループ分けされる。あるいはまた、同様のコンテクストの検査要件を有する他の隣接マスクエレメント、例えばボックス764を、同じ検査領域に含ませることもできる。この後者の例では、異なる検査分析の必要性のためにボックス764及び766の下位の領域が維持される場合であっても、検査解像度の要件が同様であるために、ボックス764及び766は1つの検査領域と考えられるだろう。
コンテクスト及び優先度分析306のデータ及び領域検査データ308は、前記マスクエレメント718についての初期の設計データ302に対して、ブロック310において追加されるか又はここで形成される。この例では、このことは、マスクエレメント718が、寸法、位置、コンテクスト、及び優先度データと共に、OPCエレメント720〜730のような他のマスク形態とは区別されるものとして特定されることを意味する。
具体的には、前述の例示的なコンテクスト情報に基づいて、データは、マスクを検査するためにエレメント718のコンテクスト及び優先度を検査計画に反映させるために、設計データに追加するか又はそこに形成することができる。一例として、設計ファイルは、718について、種々のフィールド及び識別子を包含することができる。例えば:
・回路機能=MOSFET;
・回路機能優先度=高;
・回路クリティカリティ=高;
・相対的マスク優先度=高;
・工程の目的=機能的マスク形態;
・クリティカルな工程(推定物理特性)=リソグラフィ;
・検査解像度=高;
・検査分析=高;
・寸法及び形状の忠実度=高;
・相対位置重要性=高;
・回路値=高;
・検査情報?=イエス、OPC720〜730と同時に検査;
・検査領域=イエス、領域766。
さらに別の例示的なフィールド値については、前述の領域759に付随して示したテキストを参照することができる。
更に、PSMの形態714及び716は、それぞれ、その機能、クリティカリティ、及び他の関連するコンテクストデータを反映した類似のデータフィールド及び値を含んだ自分自身のデータを有していてもよい。そのようなものとして例えば以下のようなものが考えられる:
・回路機能=なし;
・回路機能優先度=低;
・回路クリティカリティ=なし;
・工程の目的=OPC形態;
・クリティカルな工程(推定される物理)=リソグラフィ;
・検査解像度=高;
・検査分析=高。
更に、データベースを再度順序付けして、領域766に対するデータをそれが最も効率的かつ有効に検索されうるマスクデータベース内の領域又は順序に配置することができる。
この改善されたマスク設計データをマスク検査システム312が使用して、上述のコンテクスト、優先度、解像度、及び分析に従って検査ビームをより効率的にマスク基板に印加することができる。例えば、他のマスクエレメントと比較してクリティカルな回路値及び高い優先度を有するエレメント718については、最高の解像度と低速のビーム軌道を用いて、最初に検査されうる。このようにすると、許容できない欠陥が見つかった場合に、分析314及び、続行するか新たなマスクへの書込みを再開するかの決定316のために、検査は、直ちに中断することができる。
更に、高い優先度のエレメント718と比較的低い又は二次的な優先度のOPC形態720〜730とを区別して、マスク検査システムは、より多くの時間と解像度をOPCエレメントよりも機能的エレメント718に集中させるよう指示するだろう。マスクエレメント718と所望の回路の関連では、それらの形態は、機能的エレメント718の忠実度を改善するだけの役目をし、それらの値は、そのエレメント718に対するそれらの影響から得られる。
これらのパラメータを用いて、潜在的にチップを破壊してしまうような欠陥の領域の階層ツリーを注意深く検討することに、検査システムはそのリソースを充てることができる。このような欠陥の領域は、欠陥が見つかった場合に回路や工程の破壊的な欠陥を生じさせる可能性が非常に高い領域である。欠陥が実際に見つかった場合は、その欠陥が形態とどのように相互作用するか、そして回路又は工程において混乱がどのように影響するかといった作用的物理に関する知識が利用できる。領域760、762についての前述のテーブルに類似した単純なルールを、非影響的な欠陥を排除するためのスクリーニングに用いることができ、別のルールが、欠陥の正しい厳格さを特定する。
組み込まれた工程及びデバイス物理CADツールを備えた或いは回路分析ツールを備えた欠陥分析ワークステーションを使用して自動で或いはマニュアルで実行された適切な分析は、欠陥を、修復が必要、放置できる、修復不能のいずれか一つに分類するのに用いることができる。この例全体について、種々のマスクエレメントについてのコンテクスト及び優先度のデータを評価して、より効果的な方法でのマスク検査の計画を作成することができる。具体的には、検査ビーム及びイメージの捕捉及び分析についての指示ができるように、得られたマスク設計ファイル及び検査計画が形成される。
最大の時間、エネルギ、及び解像度を用いて、718、720〜730、比較された708及び710、712、そして706というエレメントが、この順番で検査され、エレメント720〜730及び708及び710に関しては検査解像度を下げて検査される。更に、エレメント718、720〜730、708及び710、712、そして706については、これらの形態に影響を与えうる任意の欠陥に対してより高い分析を受けるように、異なる分析が相応に適用される。これらに続いて、より低い解像度、より速いビーム横断速度、及び利用可能な場合はより広いイメージフィールドで、714、716、及び704というエレメントがこの順番で検査される。エレメント714及び716についてはコンテクスト内のより高い分析を受け、エレメント704についてはそれに影響するどのような欠陥についてもほとんど又は全く分析を受けないよう、異なった分析が適用される。最後に、ボックス759は、非検査領域としてまったく検査されない。検査解像度及び分析のこのような階層の中では、クリティカルなマスクエレメントに影響を与える欠陥は、検査工程の早期の段階で見いだすことができ、そして、より低い優先度のエレメントに対して過度の解像度、分析、時間が割り当てられることがないよう相応に対処することができる。
マスク検査に適用されるコンテクスト特定型のマスク検査の実施形態は、以下のものを含むことができる。すなわち、
・ポリゴンを設計に関連付けることによる形態の目的の特定及び注釈、すなわち、回路の目的のコンテクストにある物理的エレメントは何か。回路の目的がある場合は、物理的な回路の特性及び関連するネットリストの特性は、レイアウト上に見える部分に注釈が付けられる。この同じ識別/注釈は、製造目的のコンテクストに対してもなされる。
・形態の欠陥又は歪みがある場合に、調査した物理的機構の特定。
・回路又は製造目的のコンテクストにおけるエレメントのクリティカリティの特定。
欠陥がICに対して有し得る将来の潜在的な影響の先験的知識が与えられると、アーティファクトが欠陥として分類されるべきか否か、そして欠陥である場合にはその深刻さ(severity)に関するインテリジェントな決定がなされる。
このイメージを理解するアプローチは、欠陥を無視するか、欠陥を修復するか、又は新しいマスクを書き込むかについてなされる決定に対して十分な情報を提供できる。例えば、DRAM回路のセンス増幅器におけるようなマッチングされた一対のトランジスタに対して、「イメージ」は、幾何学的厳密性さというよりは、閾値電圧と二つのトランジスタの線型的なマッチングのうちの一方かもしれない。
図8Aから8Gは、設計のコンテクストに対して設計形態を考慮することによって提供された情報の例を示す。このコンテクスト情報は、クリティカルな形態を特定するのに使用できるので、各設計の形態にラベル付けするのに比べて大幅に有用である。というのは、設計の形態にタグでラベル付けしても、その形態がなぜ又はいかにクリティカルであるかを表示できないからである。ここでのエレメントは、バイア(via)であり、図では第1の層上により大きな正方形として、第2の層上により小さな正方形として表される。バイアのコンテクストは、バイアのどの形態がクリティカルであるかを特定するのに使用することができる。製造規則には、工程の不確実さがあったとしてもバイアは第1の層によって完全に囲まれることが記述されているかもしれない。第2の層の小さな正方形は、第1の層のより大きな正方形に対して異なる位置にあってもよい。
図8Aの実施形態に対しては、側面の配置はクリティカルではない。図8Bでは、図示のように一方の側面がクリティカルである。図8Cと図8Dでは、2つの側面がクリティカルである。図8Eは、クリティカルな3つの側面を有する例を示している。図8Fでは、4つの全ての側面の配置がクリティカルである。図8Gでは、いくつかの縁部は部分的にクリティカルであるが、他はそうではない。
バイア自体といくつかの制約(例えば、最小面積など)は、図8Aから8Fで同一である。しかしながら、縁部の配置がクリティカルか否か(そして、それゆえにマスク書込みの際に高い精度を提供するコスト的な価値があるか、又はマスク検査中に欠陥としてリジェクトする価値があるか)は、図がバイアを表すという事実から、或いはバイアをクリティカルであるとのマークを付けるだけでは決定することはできない。それよりも、コンテクスト情報を使用して、バイアのクリティカル又は非クリティカルな形態を判断することができる。この場合に、コンテクスト情報を、各縁部をクリティカル又は非クリティカルとして個々にマーク付けするのに使用することができる。
本発明の上記及び他の実施形態は、上述の教示に従って実現することができ、本発明の広義の思想及び範囲を逸脱することなく上述の実施形態に対して様々な修正及び変更を為し得ることは明白である。本明細書及び図面は、従って制限的な意味ではなく例示的であると考えるべきであり、本発明は、特許請求の範囲によってのみ評価されるべきである。
集積チップ設計及び製造工程の流れ図である。 本発明の実施形態によるマスク検査システムの一例の概略図である。 マスク検査のための工程の実施形態の流れ図である。 マスクエレメント及び欠陥の回路層投射をもたらす、マスクエレメント及び重ね合わせた欠陥の上面の例を示す図である。 収差を有する結像レンズを通して投射されたマスクイメージの一例の上面図である。 本発明の実施形態のユーザインタフェーススクリーンの一例を示す図である。 マスクエレメントとその探索領域を有するマスクの一例の上面図である。 設計のコンテクストに関する設計の特徴を考察することで与えられる情報の一例を示す図である。

Claims (44)

  1. 集積回路の設計データを生成する段階と、
    マスクを検査するために前記集積回路の設計データの形態相互の関係に関する情報を使用する段階と、
    を含むことを特徴とする、マスクを検査する方法。
  2. 前記形態相互の関係は、前記集積回路の設計の1つの層上に存在することを特徴とする請求項1に記載の方法。
  3. 前記形態相互の関係は、前記集積回路の設計の複数の層に亘って存在することを特徴とする請求項1に記載の方法。
  4. 前形態相互の関係は、
    形態相互の工程近接効果、
    各層に亘る形態相互の結合、
    形態相互の電子的関係、又は
    所定の長さを超えるワイヤ相互接続、
    を含むことを特徴とする請求項1に記載の方法。
  5. 前記形態相互の関係に関する情報は、形態の冗長性を特定するための情報を含み、
    前記マスクを検査するために前記形態相互の関係に関する情報を使用する段階は、
    少なくとも1つの形態が機能的であると決定する段階と、
    前記形態相互の関係に関して冗長である形態の、1つ又はそれ以上の欠陥を無視する段階と、
    を更に含むことを特徴とする請求項1に記載の方法。
  6. リソグラフィのフォトマスク又はウェハー上で検査を含む計測動作を実行する方法であって、
    集積回路の設計データを生成する段階と、
    フォトマスク又はウェハーを検査するために、前記集積回路の設計データからのコンテクスト情報を使用する段階と、
    を含むことを特徴とする方法。
  7. 前記コンテクスト情報を使用する段階は、
    個々のマスク形態又はマスク形態のグループに対して、前記集積回路の設計データ内で定義されたそれらのマスク形態から生成することが意図された回路エレメントに関連する情報を特定する段階、
    を含むことを特徴とする請求項6に記載の方法。
  8. コンテクスト情報を使用する段階は、
    コンテクスト優先度に関してマスク形態を分析する段階、
    を含むことを特徴とする請求項6に記載の方法。
  9. コンテクスト情報を使用する段階は、
    全てのマスク形態又はマスク形態のグループに優先度を割り当てる段階、
    を含むことを特徴とする請求項8に記載の方法。
  10. 優先度をマスク形態に割り当てる段階は、
    マニュアルの工程によりマスク設計データに基準を適用する段階、
    を含むことを特徴とする請求項9に記載の方法。
  11. 優先度をマスク形態に割り当てる段階は、
    コンピュータ支援の自動化工程によりマスク設計データに基準を適用する段階、
    を含むことを特徴とする請求項9に記載の方法。
  12. コンテクスト情報を使用する段階は、
    マスク検査領域におけるグループ分けのために集積回路の設計データを分析する段階、
    を含むことを特徴とする請求項6に記載の方法。
  13. コンテクスト情報を使用する段階は、
    マスク検査システムにコンテクスト情報を送る段階、
    を含むことを特徴とする請求項6に記載の方法。
  14. 前記コンテクスト情報は、隣接する幾何学的配置、形態の電気的意図、意図した回路のタイミング、冗長な形態、及び所定の形態の隣接形態に対する関係に関する情報を含むことを特徴とする請求項6に記載の方法。
  15. 集積回路を設計する段階であって、その設計データには、各マスクエレメントに対するポリゴン形状、位置、レイアウト配置、回路機能、及び回路のクリティカリティのデータが含まれる集積回路を設計する段階と、
    前記設計データをコンテクスト及び優先度分析のステップに送る段階と、
    各マスクエレメントについて設計データを分析する段階とを含み、
    各マスクエレメントを分析する段階であって、その分析には、各マスクエレメントの設計データを他のマスクエレメントの設計データ及び予め決められたマスク基準の組と比較して前記各マスクエレメントに対する回路機能及び回路のクリティカリティのコンテクスト及び優先度を決定することが含まれている分析段階と、
    類似の解像度及び分析要件を有するマスク領域を決定する段階と、
    前記回路機能及び回路のクリティカリティのコンテクスト及び優先度のデータ、そしてマスク領域のデータを、マスク設計データファイルに含める段階と、
    マスクを検査するために、前記マスク設計データファイルを使用する段階と、
    を含むことを特徴とする、マスク検査の方法。
  16. 前記設計データを分析する段階には、
    隣接する幾何学的配置、前記マスクエレメントの電気的な意図、意図した回路のタイミング、冗長なマスクエレメント、及び、与えられたマスクエレメントの隣接するマスクエレメントとの関係に関する情報を分析する段階を含むことを特徴とする請求項15に記載の方法。
  17. 前記データへの効率的なアクセスを可能とするよう、前記マスク設計データファイルを順序付けする段階を、更に含むことを特徴とする請求項15に記載の方法。
  18. 前記マスク領域を決定する段階は、前記設計データを隣接するマスクエレメントと比較することと、類似性を決定することとを含んでいるる、請求項15に記載の方法。
  19. 集積回路を設計する手段であって、その設計によるデータには、各マスクエレメントに対するポリゴン形状、位置、レイアウト配置、回路機能、及び回路のクリティカリティのデータが含まれる集積回路設計手段と、
    集積回路設計からの設計データは、各マスクエレメントに対するポリゴン形状、位置、レイアウト配置、回路機能性、及び回路クリティカリティデータを含み、
    前記設計データをコンテクスト及び優先度を分析するステップに送る手段と、
    各マスクエレメントについて設計データを分析する手段であって、その分析には、各マスクエレメントの設計データを他のマスクエレメントの設計データ及び予め決められたマスク基準の組と比較して前記各マスクエレメントに対する回路機能及び回路のクリティカリティのコンテクスト及び優先度を決定することが含まれている設定データ分析手段と、
    類似の解像度及び分析要件を有するマスク領域を決定する手段と、
    前記回路機能及び回路のクリティカリティのコンテクスト及び優先度のデータ、そしてマスク領域データを、マスク設計データファイルに含める手段と、
    マスクを検査するために、前記マスク設計データファイルを使用する手段と、
    を含むことを特徴とする、リソグラフィマスク検査システム。
  20. 前記設計データ分析手段は、
    隣接する幾何学的配置、前記マスクエレメントの電気的な意図、意図した回路のタイミング、冗長なマスクエレメント、そして与えられたマスクエレメントの隣接するマスクエレメントとの関係に関する情報を分析する手段、を含むことを特徴とする請求項19に記載のリソグラフィマスク検査システム。
  21. 前記データへの効率的なアクセスを可能とするよう、前記マスク設計データファイルを順序付けする手段を、更に含むことを特徴とする請求項19に記載のリソグラフィマスク検査システム。
  22. 前記マスク領域を決定する手段は、前記設計データを隣接するマスクエレメントと比較し、類似性を決定する手段を含んでいる、請求項19に記載のリソグラフィマスク検査システム。
  23. 集積回路の設計データを生成する手段と、
    マスクを検査するために、前記集積回路の設計データの形態間の関係に関する情報を使用するための手段と、
    を含むことを特徴とする、マスク検査システム。
  24. 前記形態間の関係は、前記集積回路の設計の1つの層についてのものである請求項23に記載のマスク検査システム。
  25. 前記形態間の関係は、前記集積回路設計の複数の層に亘るものである、請求項23に記載のマスク検査システム。
  26. 前記形態間の関係は、
    形態間の工程の近接効果、
    各層に亘る形態間の結合、
    形態間の電子的関係、又は
    所定の長さを超えるワイヤの相互接続、
    を含んでいる、請求項23に記載のマスク検査システム。
  27. 前記形態間の関係に関する情報には、形態の冗長性を特定する情報が含まれ、
    前記マスクを検査するために形態間の関係に関する情報を使用する手段には、少なくとも1つの形態が機能し得ると決定する手段と、前記機能する形態に対して冗長である形態の1つ又はそれ以上の欠陥を放置する手段と、
    を更に含むことを特徴とする請求項23に記載のマスク検査システム。
  28. リソグラフィのフォトマスク又はウェハー上で検査を含む計測動作を実行するシステムであって、
    集積回路の設計データを生成するための手段と、
    フォトマスク又はウェハーを検査するために前記集積回路の設計データからのコンテクスト情報を使用する手段と、
    を含むことを特徴とするシステム。
  29. 前記コンテクスト情報を使用する手段は、
    個々のマスク形態又はマスク形態のグループに対して、前記集積回路の設計データ内で定義されたそれらのマスク形態から生成することが意図された回路エレメントに関する情報を特定する手段、
    を含むことを特徴とする請求項28に記載のシステム。
  30. 前記コンテクスト情報を使用する手段は、
    コンテクストの優先度のためにマスク形態を分析する手段、
    を含むことを特徴とする請求項28に記載のシステム。
  31. 前記コンテクスト情報を使用する手段は、
    全てのマスク形態又はマスク形態のグループに対して優先度を割り当てる手段、
    を含むことを特徴とする請求項30に記載のシステム。
  32. マスク形態に優先度を割り当てることには、マニュアルの工程によりマスク設計データに基準を適用することが含まれる、請求項31に記載のシステム。
  33. 前記優先度をマスク形態に割り当てる手段には、コンピュータ支援の自動化工程によりマスク設計データに基準を適用する手段が含まれる、請求項32に記載のシステム。
  34. 前記コンテクスト情報を使用する手段は、
    マスク検査領域のグループ分けのために集積回路の設計データを分析する手段、
    を含むことを特徴とする請求項28に記載のシステム。
  35. 前記コンテクスト情報を使用する手段は、
    コンテクスト情報をマスク検査システムに送る手段、
    を含むことを特徴とする請求項28に記載のシステム。
  36. 前記コンテクスト情報は、隣接する幾何学的配置、形態の電気的な意図、意図した回路のタイミング、冗長な形態、そして与えられた形態の隣接する形態との関係に関する情報を含むことを特徴とする請求項28に記載のシステム。
  37. マスクを書込む段階と、
    潜在的なマスク欠陥を有する前記マスクのエレメントを特定する段階と、
    前記エレメントの幾何学的配置と機能の間の関係を決定する段階と、
    前記エレメントの幾何学的配置と機能の間の前記関係を使用して、前記潜在的なマスク欠陥がクリティカルかどうかを判断する段階と、
    を含むことを特徴とする方法。
  38. 前記関係は、工程のモデル化によって決定されることを特徴とする請求項37に記載の方法。
  39. 前記関係は、デバイスのモデル化によって決定されることを特徴とする請求項37に記載の方法。
  40. 前記関係は、電気的なモデル化によって決定されることを特徴とする請求項37に記載の方法。
  41. マスクを書き込む手段と、
    潜在的なマスク欠陥を有する前記マスクのエレメントを特定する手段と、
    前記エレメントの幾何学的配置と機能との間の関係を決定する手段と、
    前記エレメントの幾何学的配置と機能との間の前記関係を使用して、前記潜在的なマスク欠陥がクリティカルであるかを判断する手段と、
    を含むことを特徴とするシステム。
  42. 前記関係は、工程のモデル化によって決定されることを特徴とする請求項41に記載のシステム。
  43. 前記関係は、装置のモデル化によって決定されることを特徴とする請求項41に記載のシステム。
  44. 前記関係は、電気的なモデル化によって決定されることを特徴とする請求項41に記載のシステム。
JP2004521802A 2002-07-12 2003-07-14 コンテクスト特定型のマスク検査のための方法及びシステム Pending JP2006502422A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39546702P 2002-07-12 2002-07-12
PCT/US2003/021995 WO2004008245A2 (en) 2002-07-12 2003-07-14 Method and system for context-specific mask inspection

Publications (1)

Publication Number Publication Date
JP2006502422A true JP2006502422A (ja) 2006-01-19

Family

ID=30115879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004521802A Pending JP2006502422A (ja) 2002-07-12 2003-07-14 コンテクスト特定型のマスク検査のための方法及びシステム

Country Status (5)

Country Link
US (2) US7231628B2 (ja)
EP (1) EP1579274A4 (ja)
JP (1) JP2006502422A (ja)
AU (1) AU2003256530A1 (ja)
WO (1) WO2004008245A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006085175A (ja) * 2004-09-14 2006-03-30 Kla Tencor Technologies Corp レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
JP2007513385A (ja) * 2003-12-04 2007-05-24 ケーエルエー・テンコール・テクノロジーズ・コーポレーション レチクル・レイアウト・データをシミュレートし、レチクル・レイアウト・データを検査し、レチクル・レイアウト・データの検査プロセスを生成する方法
JP2008262148A (ja) * 2007-03-15 2008-10-30 Kla-Tencor Technologies Corp リソグラフィマスク用の検査方法及び装置
JP2010517093A (ja) * 2007-01-29 2010-05-20 トッパン、フォウタマスクス、インク フォトマスク内の欠陥を処理するための方法およびシステム
KR20170063909A (ko) * 2014-10-02 2017-06-08 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
JP2017523390A (ja) * 2014-05-12 2017-08-17 ケーエルエー−テンカー コーポレイション 検査のための高解像度フルダイイメージデータの使用

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW362222B (en) * 1995-11-27 1999-06-21 Matsushita Electric Ind Co Ltd Coiled component and its production method
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
KR100850201B1 (ko) * 2002-06-04 2008-08-04 삼성전자주식회사 Opc 마스크 제작 방법 및 그를 이용하여 제작된 마스크
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7231628B2 (en) * 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
WO2004008246A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7328419B2 (en) * 2002-11-19 2008-02-05 Cadence Design Systems, Inc. Place and route tool that incorporates a metal-fill mechanism
US7231624B2 (en) * 2002-11-19 2007-06-12 Cadence Design Systems, Inc. Method, system, and article of manufacture for implementing metal-fill with power or ground connection
US7114144B2 (en) * 2002-11-26 2006-09-26 Matsushita Electric Industrial Co., Ltd. Mask pattern inspecting method, inspection apparatus, inspecting data used therein and inspecting data generating method
US7093228B2 (en) * 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
US7069533B2 (en) * 2003-03-14 2006-06-27 Chatered Semiconductor Manufacturing, Ltd System, apparatus and method for automated tapeout support
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7234128B2 (en) * 2003-10-03 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the critical dimension uniformity of patterned features on wafers
JP2005209850A (ja) * 2004-01-22 2005-08-04 Toshiba Corp 半導体装置の設計システムと製造システム
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7313781B2 (en) * 2004-05-28 2007-12-25 Kabushiki Kaisha Toshiba Image data correction method, lithography simulation method, image data correction system, program, mask and method of manufacturing a semiconductor device
JP2006039059A (ja) * 2004-07-23 2006-02-09 Toshiba Corp フォトマスクデータの作成方法およびフォトマスクの製造方法
JP2006098151A (ja) * 2004-09-29 2006-04-13 Dainippon Screen Mfg Co Ltd パターン検査装置およびパターン検査方法
US20060123381A1 (en) * 2004-12-07 2006-06-08 Dainippon Screen Mfg. Co., Ltd. Data generating system, patterning data generating apparatus, method of generating patterning data and storage medium carrying patterning data
JP2006235327A (ja) * 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
US20060238732A1 (en) * 2005-04-21 2006-10-26 Mercado Romeo I High-NA unit-magnification projection optical system having a beamsplitter
JP4679243B2 (ja) * 2005-05-25 2011-04-27 株式会社東芝 マスク作成方法および半導体装置の製造方法
US7251015B2 (en) * 2005-06-27 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask critical dimension metrology system and method
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
KR100982135B1 (ko) * 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
JP5405109B2 (ja) * 2005-09-13 2014-02-05 ルミネセント テクノロジーズ インコーポレイテッド フォトリソグラフィのためのシステム、マスク、及び方法
WO2007041600A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
WO2007041701A2 (en) * 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7640522B2 (en) * 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
JP4791267B2 (ja) * 2006-06-23 2011-10-12 株式会社日立ハイテクノロジーズ 欠陥検査システム
WO2008010017A1 (en) * 2006-07-19 2008-01-24 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8644588B2 (en) * 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7665048B2 (en) * 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
US8156450B2 (en) * 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7694244B2 (en) 2006-12-18 2010-04-06 Cadence Design Systems, Inc. Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
US8146024B2 (en) * 2006-12-18 2012-03-27 Cadence Design Systems, Inc. Method and system for process optimization
US7877722B2 (en) * 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
TWI469235B (zh) 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US20090119357A1 (en) * 2007-11-05 2009-05-07 International Business Machines Corporation Advanced correlation and process window evaluation application
US8063908B1 (en) * 2007-11-08 2011-11-22 Nvidia Corporation System, method, and computer program product for validating a graphics processor design
US7873936B2 (en) * 2008-01-04 2011-01-18 International Business Machines Corporation Method for quantifying the manufactoring complexity of electrical designs
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8572517B2 (en) 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US10089432B2 (en) * 2008-11-03 2018-10-02 Mentor Graphics Corporation Rule-check waiver
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
TWI386642B (zh) * 2009-06-29 2013-02-21 Century Display Shenzhen Co 玻璃基板、使用該玻璃基板的檢測方法、以及製作該玻璃基板的矩陣光罩
US8458640B2 (en) * 2009-08-31 2013-06-04 Synopsys, Inc. Routing using a dynamic grid
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US9043296B2 (en) * 2010-07-30 2015-05-26 Microsoft Technology Licensing, Llc System of providing suggestions based on accessible and contextual information
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8448120B2 (en) * 2011-05-09 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. RC extraction for single patterning spacer technique
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8656318B2 (en) * 2012-05-04 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for combined intraoverlay metrology and defect inspection
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9305346B2 (en) * 2012-05-31 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for efficient defect inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US8726212B1 (en) 2013-02-21 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Streamlined parasitic modeling with common device profile
US8912489B2 (en) * 2013-03-04 2014-12-16 Globalfoundries Inc. Defect removal process
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9529255B2 (en) 2013-12-04 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Image process method to improve mask inspection performance
US9747518B2 (en) * 2014-05-06 2017-08-29 Kla-Tencor Corporation Automatic calibration sample selection for die-to-database photomask inspection
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US9355211B2 (en) * 2014-10-10 2016-05-31 Oracle International Corporation Unified tool for automatic design constraints generation and verification
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
DE102015204800B3 (de) * 2015-03-17 2016-12-01 MTU Aero Engines AG Verfahren und Vorrichtung zur Qualitätsbeurteilung eines mittels eines additiven Herstellungsverfahrens hergestellten Bauteils
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US11023648B2 (en) 2017-12-12 2021-06-01 Siemens Industry Software Inc. Puzzle-based pattern analysis and classification
WO2019162280A1 (en) * 2018-02-23 2019-08-29 Asml Netherlands B.V. Guided patterning device inspection
CN110727170B (zh) * 2018-07-16 2023-12-01 中芯国际集成电路制造(上海)有限公司 一种光罩的缺陷修复方法及光罩
US10631036B1 (en) * 2018-10-24 2020-04-21 Gfycat, Inc. Identifying altered digital video content
US10753882B1 (en) * 2019-04-10 2020-08-25 Griffyn Robotech Private Ltd. Inspection and cosmetic grading through image processing system and method
US11531802B2 (en) * 2019-10-18 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Layout context-based cell timing characterization
US20240167813A1 (en) * 2022-11-23 2024-05-23 Kla Corporation System and method for suppression of tool induced shift in scanning overlay metrology

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0588357A (ja) * 1991-09-27 1993-04-09 Toshiba Corp マスクパターンの検査方法
JPH0887101A (ja) * 1994-09-14 1996-04-02 Toshiba Mach Co Ltd マスク検査装置
JP2001281161A (ja) * 2000-03-30 2001-10-10 Toshiba Corp 欠陥検査装置及び検査方法
JP2002532760A (ja) * 1998-12-17 2002-10-02 ケーエルエー−テンカー コーポレイション レチクルを製造および検査するためのメカニズム

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
JPS6381570A (ja) 1986-09-25 1988-04-12 Sony Corp 電子ビ−ム装置に用いるデ−タ処理方法及びデ−タ処理装置
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5567550A (en) 1993-03-25 1996-10-22 Texas Instruments Incorporated Method of making a mask for making integrated circuits
US5879866A (en) 1994-12-19 1999-03-09 International Business Machines Corporation Image recording process with improved image tolerances using embedded AR coatings
US5553273A (en) 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6282696B1 (en) * 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6453452B1 (en) 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5932377A (en) * 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
JP2000162758A (ja) 1998-11-30 2000-06-16 Matsushita Electric Ind Co Ltd 光学的近接効果補正方法
US6363296B1 (en) 1999-02-24 2002-03-26 Infineon Technologies Ag System and method for automated defect inspection of photomasks
US6051347A (en) 1999-03-18 2000-04-18 Taiwan Semiconductor Manufacturing Company Application of e-beam proximity over-correction to compensate optical proximity effect in optical lithography process
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6654488B1 (en) 1999-07-01 2003-11-25 International Business Machines Corporation Fill pattern inspection
US6535774B1 (en) 1999-08-12 2003-03-18 Advanced Micro Devices, Inc. Incorporation of critical dimension measurements as disturbances to lithography overlay run to run controller
US6456899B1 (en) 1999-12-07 2002-09-24 Ut-Battelle, Llc Context-based automated defect classification system using multiple morphological masks
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6571383B1 (en) 2000-04-28 2003-05-27 Infineon Technologies, Ag Semiconductor device fabrication using a photomask designed using modeling and empirical testing
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
EP1164432A1 (en) * 2000-06-13 2001-12-19 ASML Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US6444373B1 (en) 2000-06-16 2002-09-03 Advanced Micro Devices, Inc. Modification of mask layout data to improve mask fidelity
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
US6526550B1 (en) 2000-09-29 2003-02-25 General Electric Company Analyzing characteristics of geometries
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
JP2002196470A (ja) 2000-12-26 2002-07-12 Hitachi Ltd フォトマスクの製造方法および半導体集積回路装置の製造方法
US6901574B2 (en) 2001-02-09 2005-05-31 Lacour Patrick J. Data management method for mask writing
US6703167B2 (en) 2001-04-18 2004-03-09 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US6523165B2 (en) * 2001-07-13 2003-02-18 Numerical Technologies, Inc. Alternating phase shift mask design conflict resolution
US6560766B2 (en) 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US7302111B2 (en) 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3708858B2 (ja) 2001-09-20 2005-10-19 株式会社東芝 パターン描画方法及び描画装置
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US20030121021A1 (en) * 2001-12-26 2003-06-26 Numerical Technologies, Inc. System and method for determining manufacturing error enhancement factor
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6868537B1 (en) 2002-02-25 2005-03-15 Xilinx, Inc. Method of generating an IC mask using a reduced database
US6884551B2 (en) * 2002-03-04 2005-04-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
JP2003315973A (ja) 2002-04-19 2003-11-06 Fujitsu Ltd マスク設計装置、マスク設計方法、プログラムおよび半導体装置製造方法
DE10228546B4 (de) 2002-06-26 2006-08-10 Infineon Technologies Ag Verfahren zur Strukturierung einer Lithographiemaske
US7231628B2 (en) 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7302672B2 (en) 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US6964032B2 (en) 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
US7024638B2 (en) 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0588357A (ja) * 1991-09-27 1993-04-09 Toshiba Corp マスクパターンの検査方法
JPH0887101A (ja) * 1994-09-14 1996-04-02 Toshiba Mach Co Ltd マスク検査装置
JP2002532760A (ja) * 1998-12-17 2002-10-02 ケーエルエー−テンカー コーポレイション レチクルを製造および検査するためのメカニズム
JP2001281161A (ja) * 2000-03-30 2001-10-10 Toshiba Corp 欠陥検査装置及び検査方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007513385A (ja) * 2003-12-04 2007-05-24 ケーエルエー・テンコール・テクノロジーズ・コーポレーション レチクル・レイアウト・データをシミュレートし、レチクル・レイアウト・データを検査し、レチクル・レイアウト・データの検査プロセスを生成する方法
JP2006085175A (ja) * 2004-09-14 2006-03-30 Kla Tencor Technologies Corp レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
JP2010517093A (ja) * 2007-01-29 2010-05-20 トッパン、フォウタマスクス、インク フォトマスク内の欠陥を処理するための方法およびシステム
JP2008262148A (ja) * 2007-03-15 2008-10-30 Kla-Tencor Technologies Corp リソグラフィマスク用の検査方法及び装置
JP2017523390A (ja) * 2014-05-12 2017-08-17 ケーエルエー−テンカー コーポレイション 検査のための高解像度フルダイイメージデータの使用
KR20170063909A (ko) * 2014-10-02 2017-06-08 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
KR102084048B1 (ko) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
KR20200023532A (ko) * 2014-10-02 2020-03-04 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
US11022894B2 (en) 2014-10-02 2021-06-01 Asml Netherlands B.V. Rule-based deployment of assist features
KR102278367B1 (ko) 2014-10-02 2021-07-19 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치

Also Published As

Publication number Publication date
US7231628B2 (en) 2007-06-12
US20040133369A1 (en) 2004-07-08
WO2004008245A2 (en) 2004-01-22
AU2003256530A8 (en) 2004-02-02
US20070233419A1 (en) 2007-10-04
WO2004008245A3 (en) 2005-08-25
EP1579274A4 (en) 2006-06-07
EP1579274A2 (en) 2005-09-28
US8407627B2 (en) 2013-03-26
AU2003256530A1 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
JP2006502422A (ja) コンテクスト特定型のマスク検査のための方法及びシステム
US7784016B2 (en) Method and system for context-specific mask writing
US7302672B2 (en) Method and system for context-specific mask writing
TWI808815B (zh) 半導體製作製程控制之系統及方法,以及電腦程式產品
JP6306639B2 (ja) デザイナ・インテント・データを使用するウェハとレチクルの検査の方法およびシステム
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
US7760347B2 (en) Design-based method for grouping systematic defects in lithography pattern writing system
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
US6954911B2 (en) Method and system for simulating resist and etch edges
JP2006085175A (ja) レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7275227B1 (en) Method of checking optical proximity correction data
TWI729475B (zh) 量測方法與裝置
US7469057B2 (en) System and method for inspecting errors on a wafer
US6999611B1 (en) Reticle defect detection using simulation
KR20110046347A (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060714

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090928

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100106

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100607