JP2006251491A - フォトレジスト剥離液組成物及びフォトレジストの剥離方法 - Google Patents

フォトレジスト剥離液組成物及びフォトレジストの剥離方法 Download PDF

Info

Publication number
JP2006251491A
JP2006251491A JP2005069221A JP2005069221A JP2006251491A JP 2006251491 A JP2006251491 A JP 2006251491A JP 2005069221 A JP2005069221 A JP 2005069221A JP 2005069221 A JP2005069221 A JP 2005069221A JP 2006251491 A JP2006251491 A JP 2006251491A
Authority
JP
Japan
Prior art keywords
photoresist
photoresist stripping
film
stripping
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005069221A
Other languages
English (en)
Other versions
JP4988165B2 (ja
Inventor
Hirohisa Owada
拓央 大和田
Kaoru Ikegami
薫 池上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kanto Chemical Co Inc
Original Assignee
Kanto Chemical Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kanto Chemical Co Inc filed Critical Kanto Chemical Co Inc
Priority to JP2005069221A priority Critical patent/JP4988165B2/ja
Priority to SG200601448A priority patent/SG126052A1/en
Priority to US11/371,444 priority patent/US7816312B2/en
Priority to EP06004791A priority patent/EP1701217A3/en
Priority to TW095108208A priority patent/TW200639594A/zh
Priority to CN2006100547502A priority patent/CN1831654B/zh
Priority to KR1020060022758A priority patent/KR20060098333A/ko
Publication of JP2006251491A publication Critical patent/JP2006251491A/ja
Application granted granted Critical
Publication of JP4988165B2 publication Critical patent/JP4988165B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • G03F7/343Lamination or delamination methods or apparatus for photolitographic photosensitive material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract


【解決すべき課題】 半導体回路素子の製造工程においてドライエッチング後に残留するフォトレジスト及びフォトレジスト変質層等の剥離性に優れ、かつ新しい配線材料や層間絶縁膜材料等に対してもアタックがないフォトレジスト剥離液組成物及びフォトレジスト及びフォトレジスト変質層剥離方法を提供する。
【解決手段】 アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と、多価アルコール及びその誘導体のうちの少なくとも1種とを含有する、フォトレジスト剥離液組成物を用いる。

Description

本発明は、フォトレジスト剥離液組成物、さらに詳しくは配線材料に銅、層間絶縁膜材料に各種低誘電率層間絶縁膜を用いた半導体回路素子の製造において、配線材料や各種低誘電率層間絶縁膜にアタックすることなく、配線材料、キャパシタ、電極材料等のドライエッチング後のフォトレジスト、フォトレジスト変質層、側壁保護堆積膜等を剥離するためのフォトレジスト剥離液組成物に関する。
ドライエッチングは半導体回路素子の製造工程において層間絶縁膜材料、配線材料等のパターン形成に用いられる最も重要な技術である。ドライエッチングは、層間絶縁膜材料、配線材料等を成膜した基板上にスパッタリング、CVD、電解めっき、回転塗布等によりフォトレジストを塗布、露光、現像し、得られたパターンにフォトレジストをマスクとして反応性ガスを用いることにより層間絶縁膜や配線パターンを形成する技術である。ドライエッチング後の基板上には、マスクとして使用したフォトレジスト及びフォトレジストとエッチングガスが反応したフォトレジスト変質層が残留しており、またエッチングによって表面に露出する被エッチング材側壁には側壁保護堆積膜が残留している。側壁保護堆積膜は、金属配線側面テーパ、ビアホールの形成等の異方性エッチングに使用され、例えば直径に比べて深いビアホールの形成等、異方性が高い面を形成する場合には、側壁保護堆積膜は厚く形成される。これらのフォトレジスト変質層及び側壁保護堆積膜は次の製造工程に移行する前に基板上より除去する必要がある。
基板上のフォトレジスト除去技術には、フォトレジスト剥離液と呼ばれる化学薬品を用いて、フォトレジストを溶解もしくは部分的に溶解することにより基板上から剥離するウェット剥離と、アッシングと呼ばれるプラズマ状態の酸素ガス等を用いて、フォトレジスト及びフォトレジスト変質層を灰化除去するドライ剥離があり、各々の製造工程に適したものが選択される。
従来、フォトレジスト及びフォトレジスト変質層の除去には、主としてアッシングが用いられてきた。またアッシングのみでは、基板上からフォトレジスト及びフォトレジスト変質層由来の灰化物を完全に除去することが困難な場合は、アッシング後にフォトレジスト残渣除去液による処理が併用されている。さらに、アッシングで除去するのが困難な側壁保護堆積膜の除去には、ウェット剥離も用いられている。ここでフォトレジスト残渣とは、アッシング処理後に基板表面に残留した不完全灰化物であるフォトレジスト残渣、配線及びビアホール側面に残留するサイドウォールポリマー(側壁保護膜、又はラビットイヤーとも呼ばれる)、及びビアホール側面、底面に残留する有機金属ポリマー、金属酸化物等のすべてを意味する。
ウェット剥離による場合、イオン照射や熱により形成されるフォトレジスト変質層に用いる、アルキルベンゼンとアルキルベンゼンスルホン酸を用いる酸性のフォトレジスト剥離液、アルカノールアミン等のアルカリ性フォトレジスト剥離液等では、ドライエッチングにより形成されるフォトレジスト変質層を剥離するのは困難である。このため、アルカノールアミン、ジヒドロキシベンゼン及びジメチルスルホキシドからなるフォトレジスト剥離液(特許文献1)等が提案されている。
また、配線及びビアホール側面に残留するサイドウォールポリマー、ビアホール側面及び底面に残留する有機金属ポリマー等のフォトレジスト残渣の一部、及び配線材料等の被エッチング材料は、ドライエッチングにより無機化している。そのため、フォトレジストを剥離する機能と、無機成分を除去する機能の両方を有する洗浄組成物として、ヒドロキシルアミンとアルカノールアミンを主成分とする組成物(特許文献2)が提案されている。また、無機成分のみを除去する洗浄剤として、フッ化アンモニウム、テトラアルキルアンモニウム酢酸塩、ジメチルホルムアミド及び水からなる組成物(特許文献3)が提案されている。
しかし、これらのフォトレジスト剥離液又はフォトレジスト残渣除去液を使用する場合は、配線材料を腐食しないようイソプロピルアルコール等の有機溶剤によるリンスを行うことや、フォトレジスト残渣を完全に除去するために高温での処理が必要であった。さらにフォトレジスト残渣は配線材料と組成が類似していることから、これらのフォトレジスト残渣除去液による基板処理時に配線材料を腐食するといった問題が生じる。そのため、配線材料等の腐食防止剤としてソルビトール等の糖アルコールを含有したもの(特許文献4)等が提案されている。
一方、近年半導体回路素子の微細化、高性能化に伴い、新たな配線材料や層間絶縁膜材料が採用されるようになっており、これに伴って、従来使用されてきたフォトレジスト残渣除去液をそのまま使用することに限界が生じてきた。例えば半導体回路素子の微細化、高速化への要求より、配線抵抗の低減を目的として、銅配線の導入が検討され、ダマシンプロセスによる銅配線の形成が可能となった。ダマシンプロセスは配線パターンを層間絶縁膜に溝として形成し、スパッタリングや電解めっきを用いて銅を埋め込んだ後、不要なブランケット銅を化学的機械研磨(CMP)等を用いて除去し、配線パターンを形成するプロセスである。この新たな配線材料である銅配線材料に対するフォトレジスト剥離液には、アルカノールアミン等の主成分と、銅の腐食防止剤としてベンゾトリアゾール、及び剥離液の浸透性と濡れ性の向上を目的としてアセチレンアルコール・アルキレンオキシド付加物を含有する剥離液(特許文献5)が提案されているが、ベンゾトリアゾール等のトリアゾール化合物は生分解性が悪く、廃液処理への負荷が大きいことも問題として挙げられる。さらにトリアゾール化合物は水に対する溶解性が低いため、水リンスの後にウェハ表面にこれらの腐食防止剤が残留し、後の工程に悪影響を与える場合がある。
銅配線を有する基板のフォトレジスト剥離液としては、アルカノールアミン、アミン類、グリコール類等の水溶性有機溶剤と水からなる組成物(特許文献6)が提案されている。
一方、近年同じく半導体回路素子の微細化、高速化への要求より、配線間容量の低減を目的として、低誘電率層間絶縁膜(いわゆるlow−k膜)の導入が検討されている。一般にlow−k膜には、芳香族アリール化合物に代表される有機膜、HSQ(Hydrogen Silsesquioxane)やMSQ(Methyl Silsesquioxane)に代表されるシロキサン膜、多孔質シリカ膜等がある。このような配線材料や層間絶縁膜材料を用いて半導体回路素子を製造する場合、各種low−k膜のドライエッチングを行い、それにより下部の銅配線と上部の配線を接続するビアホールや上部配線溝を形成する。その際、従来の配線材料や層間絶縁膜材料を用いた半導体回路素子を製造する場合と同じく、次の製造工程に移行する前にドライエッチング後に基板上のフォトレジストを除去する必要がある。しかし、プラズマ状態の酸素ガス等を用いたアッシングを行うと、特にシロキサン膜、多孔質シリカ膜等のlow−k膜では、酸素ガスによってlow−k膜表面に酸素過剰のダメージ層が生成し、層間絶縁膜全体の誘電率が上昇する問題や、アッシング後にフォトレジスト残渣除去のためにフッ素系フォトレジスト残渣除去液を使用すると酸素過剰のダメージ層がエッチングされ、ビアホールや配線溝の形状が変わってしまうという問題が懸念されている。
そのため、ウェット剥離によってドライエッチング後のフォトレジストを剥離することが求められているが、フッ素化合物、ヒドロキシルアミン等を含有するアルミニウム用のフォトレジスト剥離液は銅の膜減りやlow−k膜の膜減り、構造変化、誘電率変化、機械的強度変化等のダメージが大きく使用することができない。また銅用のフォトレジスト剥離液についても、多くがアルカノールアミン等の有機アミン、水酸化テトラメチルアンモニウム等の有機アルカリを含有する強アルカリ性溶液であったり、フッ化アンモニウム等のフッ素化合物を含有する溶液であるため、low−k膜の膜減り、構造変化、誘電率変化、機械的強度変化等を引き起こし、使用することができない。
さらに、シロキサン膜、多孔質シリカ膜等のlow−k膜をドライエッチングにより加工する場合は、エッチングガスにC、C等のフロロカーボンを用いるが、これらのフロロカーボンはフォトレジストとの反応により化学的に非常に強固なフォトレジスト変質層を形成するため、従来用いられてきたフォトレジスト剥離液では剥離することができない。
このように、従来の配線材料及び層間絶縁膜材料に適するフォトレジスト剥離液又はフォトレジスト残渣除去液については各種得られているものの、新しい配線材料や層間絶縁膜材料を用いた半導体回路素子、特に銅配線やlow−k膜等の低誘電率膜を用いた半導体回路素子の製造工程において、基板上のフォトレジストをウェット剥離できるフォトレジスト剥離液は見出されていないのが現状である。
なお、フォトレジスト剥離液の成分としてアセチレンアルコールを使用する例としては、アルキルベンゼンスルホン酸またはアルキルフェノールとアセチレンアルコールを含有する組成物(特許文献7)、有機アミン系のモノエタノールアミン等を含有する組成物(特許文献8)、ヒドロキシルアミン系を含有する組成物(特許文献9)、フッ化水素酸と水溶性有機溶剤からなる組成物(特許文献10)、フッ化アンモニウム系と水溶性有機溶剤からなる組成物(特許文献11)等が報告されているが、これらはいずれもフォトレジスの剥離効果が十分でない。
特開平5−281753号公報 米国特許第5334332号明細書 特開平7−201794号公報 特開平8−262746号公報 特開2000−162788号公報 特開2002−214805号公報 特開平1−73348号公報 特開平7−64297号公報 特開平8−334905公報 特開平8−202052号公報 特開平9−197681号公報
従って本発明の目的は、半導体回路素子の製造工程においてドライエッチング後に残留するフォトレジスト、及びエッチングガスとの反応により生成するフォトレジスト変質層等の剥離性に優れ、かつ銅配線、低誘電率膜等の新しい配線材料や層間絶縁膜材料に対してもアタックのないフォトレジスト剥離液組成物及び剥離方法を提供することにある。
そこで、本発明者らは、上記問題を解決すべく鋭意研究を重ねる中で、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と、多価アルコール及びその誘導体のうちの少なくとも1種とを含有するフォトレジスト剥離液組成物がドライエッチング後に残留するフォトレジストの剥離性に優れ、かつ新しい配線材料や層間絶縁膜材料等に対してもアタックがないことを見出し、本発明を完成するに至った。
すなわち、本発明は、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と、多価アルコール及びその誘導体のうちの少なくとも1種とを含有する、フォトレジスト剥離液組成物に関する。
また本発明は、さらにフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を含有する、前記フォトレジスト剥離液組成物に関する。
さらに本発明は、アセチレンアルコール化合物が2−ブチン−1,4−ジオールである、前記フォトレジスト剥離液組成物に関する。
また本発明は、有機スルホン酸化合物がメタンスルホン酸である、前記フォトレジスト剥離液組成物に関する。
さらに本発明は、フッ素化合物がフッ化アンモニウムである、前記フォトレジスト剥離液組成物に関する。
また本発明は、水の含有量が組成物に対し10質量%以下である、前記フォトレジスト剥離液組成物に関する。
さらに本発明は、配線材料として銅又は層間絶縁膜として低誘電率膜を有する半導体回路素子の製造に用いる、前記フォトレジスト剥離液組成物に関する。
また本発明は、前記フォトレジスト剥離液組成物を用いる、フォトレジストの剥離方法に関する。
さらに本発明は、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種を含有する第1液による処理と、多価アルコール及びその誘導体のうちの少なくとも1種を含有する第2液による処理とを含む、フォトレジストの剥離方法に関する。
また本発明は、第2液にフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を含有する、前記フォトレジストの剥離方法に関する。
さらに本発明は、配線材料として銅又は層間絶縁膜として低誘電率膜を有する半導体回路素子の製造に用いる、前記フォトレジストの剥離方法に関する。
フォトレジストを形成した基板をドライエッチングすることにより、フォトレジスト表面にフォトレジスト変質層が形成され、エッチングによって露出する被エッチング材の側壁には側壁保護堆積膜が形成される。フォトレジスト変質層及び側壁保護堆積膜は、主に(1)エッチングガス由来の物質、(2)エッチングガスとフォレジストとの反応により生成する物質、(3)エッチングガスと、フォトレジストと、被エッチング材との反応により生成する物質、及び(4)エッチングガスと被エッチング材の反応により生成する物質により構成されていると考えられる。フォトレジストの表面は主に(1)及び(2)により構成され、被エッチング材とレジストの境界付近は主に(1)、(2)及び(3)により構成され、レジストから離れた被エッチング材部分は主に(1)及び(4)により構成されていると考えられる。なお、本明細書において「フォトレジスト剥離液組成物」及び「フォトレジストの剥離方法」のフォトレジストは、フォトレジストのみならず上記のフォトレジスト変質層及び側壁保護堆積膜も含む意味である。
本発明は、フォトレジスト変質層及び側壁保護堆積膜の剥離効果が主にこれらの厚さに依存していること、及び形成されるフォトレジスト変質層及び側壁保護堆積膜の厚さがほぼ同じであることから、剥離液を適切に選択することによりこれらのフォトレジスト変質層及び側壁保護堆積膜を同時に、フォトレジストとともに剥離できるという発見に基づいている。
本発明のフォトレジスト剥離液組成物は、ドライエッチング後のフォトレジストを除去するのに適しており、特にフォトレジストをフォトレジスト変質層及び側壁保護堆積膜とともに直接ウェット剥離できるという特徴を有する。また、本発明のフォトレジスト剥離液組成物は、ドライエッチング後のアッシング処理を必要としないため、特にアッシング処理によりダメージを受ける銅配線や低誘電率膜を有する基板に好適である。
本発明のフォトレジスト剥離液組成物は、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と多価アルコール及びその誘導体のうちの少なくとも1種とを含有する。アセチレンアルコール化合物及び有機スルホン酸化合物は、いずれもフォトレジストの剥離効果が高く、また銅やlow−k膜にダメージを与えることがない。
アセチレンアルコール化合物及び有機スルホン酸化合物は、フォトレジスト除去作用とともに、エッチングガス(C、C等のフロロカーボン)とフォトレジスト等の反応により形成される化学的に強固なフォトレジスト変質層及び側壁保護堆積膜を膨潤させる作用、又はフォトレジスト変質層及び側壁保護堆積膜の結合を緩める作用を有し、これにより従来の剥離液では除去することが困難であったフォトレジスト変質層及び側壁保護堆積膜をフォトレジストとともに除去することができる。また、多価アルコールをアセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と組合せることにより、フォトレジスト等を基板から容易に剥がすことができる。多価アルコールは、特にエキシマレーザー用のフォトレジストに対する溶解能が高く、一価アルコールに比べフォトレジスト剥離能が高いため、アセチレンアルコール化合物及び/又は有機スルホン酸化合物により膨潤したフォトレジスト変質層や側壁保護堆積膜をフォトレジストとともに簡単に基板から剥離することができる。また、多価アルコールは引火点が高いため、引火点が低く取り扱いが困難なイソプロピルアルコール等の一価アルコールと異なり、比較的高温の処理条件においても安全性に優れるという利点も有する。さらにフォトレジスト剥離液組成物にフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を添加することにより、除去効果を一層高めることも可能である。
本発明のフォトレジストの剥離方法は、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種を含有する第1液による処理と、多価アルコール及びその誘導体のうちの少なくとも1種を含有する第2液による処理とを含有する。剥離液組成物を2液に分け、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種を含有する第1液により、配線材料や層間絶縁膜材料にダメージを与えることなく、フォトレジスト変質層の結合を緩めるのに適した条件(温度、時間等)で基板を処理し、さらにフォトレジストを除去するのに適した第2液で、結合の緩んだフォトレジスト変質層や側壁保護堆積膜をフォトレジストとともに基板から剥離することができる。第2液による処理は、第1液による処理より低い温度で短時間に処理することが可能である。したがって、フォトレジストの剥離効果が高い反面、配線材料や層間絶縁膜材料にダメージを与えやすいフッ素化合物や第四級水酸化アンモニウム塩を第2液にさらに配合することにより、配線材料や層間絶縁膜材料にダメージを与えずにフォトレジストの剥離効果を高めることができる。
本発明によれば、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と多価アルコール及びその誘導体のうちの少なくとも1種とを含有する剥離液組成物を用いて基板を処理することにより、フォトレジスト変質層及び側壁保護堆積膜の厚さがそれぞれ5nm以下のフォトレジストを容易に剥離することができる。
また、アセチレンアルコール化合物及び有機スルホン酸のうちの少なくとも1種、多価アルコール及びその誘導体のうちの少なくとも1種、並びにフッ化アンモニウム及び第四級水酸化アンモニウム塩のうちの少なくとも1種を含有する組成物は剥離能がより高くなり、この組成物で基板を処理することにより、フォトレジスト変質層及び側壁保護堆積膜の厚さがそれぞれ5nmを超え、10nm以下のフォトレジストであっても容易に剥離することができる。
さらに、アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種を含有する第1液でまず基板を処理し、次いで多価アルコール及びその誘導体のうちの少なくとも1種を含有する第2液で基板を処理することにより、フォトレジスト変質層及び側壁保護堆積膜の厚さがそれぞれ10nmを超えるフォトレジストであっても容易に剥離することができる。
以上のとおり、本発明のフォトレジスト剥離液組成物は、ドライエッチング後に残留するフォトレジスト、特に銅配線及びlow−k膜等の低誘電率膜からなる層間絶縁膜を有する基板のドライエッチング後に残留するフォトレジストを銅配線及び低誘電率膜にダメージを与えることなく、良好に剥離することができる。そのため、半導体回路素子の製造工程におけるフォトレジスト剥離液組成物として有用である。
本発明のフォトレジスト剥離液組成物に用いる多価アルコール及びその誘導体としては、1,2−エタンジオール、1,2−プロパンジオール、1,3−プロパンジオール、1,2−ブタンジオール、1,3−ブタンジオール、1,4−ブタンジオール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコール、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル等が挙げられる。中でも好ましくは、1,2−エタンジオール、1,2−プロパンジオール、1,2−ブタンジオール、1,3−ブタンジオール、1,4−ブタンジオール、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル等であり、さらに好ましくは1,2−エタンジオール、1,2−プロパンジオール、1,3−ブタンジオール、1,4−ブタンジオール、プロピレングリコールモノエチルエーテル等である。これらの多価アルコール及びその誘導体は、それぞれ単独で用いても2種以上を組合せて用いてもよい。多価アルコール及びその誘導体の濃度は、その除去対象によって適宜決定してよいが、好ましくは組成物全体に対し、70〜99.9質量%であり、特に好ましくは90〜99.5質量%である。
また、本発明のフォトレジスト剥離液組成物に用いるアセチレンアルコール化合物は、2−ブチン−1,4−ジオール、3,5−ジメチル−1−ヘキシン−3−オール、2−メチル−3−ブチン−2−オール、3−メチル−1−ペンチン−3−オール、2,4−ジメチル−1−オクチン−3−オール、3,6−ジメチル−4−オクチン−3,6−ジオール、3,7−ジメチル−1−オクチン−3−オール、2,4,7,9−テトラメチル−5−デシン−4,7−ジオール、3−ヘキシン−2,5−ジオール、2,5−ジメチル−3−ヘキシン−2,5−ジオール等である。中でも2−ブチン−1,4−ジオールが好ましい。これらのアセチレンアルコールは、単独で用いても2種以上を組合せて用いてもよい。アセチレンアルコール化合物の濃度は、その除去対象によって適宜決定してよいが、好ましくは組成物全体に対し、0.1〜10質量%であり、特に好ましくは0.5〜5質量%である。
また、本発明のフォトレジスト剥離液組成物に用いる有機スルホン酸化合物は、好ましくは炭素原子数1〜4のアルキルスルホン酸、炭素原子数6〜8のアリールスルホン酸、又は炭素原子数5〜7のヘテロアリールスルホン酸であり、これらは置換基を有していてもよい。有機スルホン酸化合物の好ましい例としては、メタンスルホン酸、エタンスルホン酸、プロパンスルホン酸、アミノメタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、フェノールスルホン酸、ピリジンスルホン酸等が挙げられる。中でもメタンスルホン酸が特に好ましい。これらの有機スルホン酸化合物は、単独で用いても2種以上を組合せて用いてもよい。有機スルホン酸化合物の濃度は、その除去対象によって適宜決定してよいが、好ましくは組成物全体に対し、0.1〜30質量%であり、特に好ましくは0.5〜5質量%である。
また、本発明のフォトレジスト剥離液組成物に用いるフッ素化合物とは、フッ化水素酸、アンモニウム又はアミンのフッ化物塩である。例えば、フッ化アンモニウム、酸性フッ化アンモニウム、メチルアミンフッ化水素塩、エチルアミンフッ化水素塩、プロピルアミンフッ化水素塩、フッ化テトラメチルアンモニウム、フッ化テトラエチルアンモニウム、エタノールアミンフッ化水素塩、メチルエタノールアミンフッ化水素塩、ジメチルエタノールフッ化水素塩、トリエチレンジアミンフッ化水素塩等である。中でも好ましくは、金属不純物含有量が低く容易に入手できるフッ化アンモニウムである。これらのフッ素化合物は、単独で用いても2種以上を組合せて用いてもよい。フッ素化合物の濃度は、その除去対象によって適宜決定してよいが、好ましくは組成物全体に対し、0.01〜0.1質量%であり、特に好ましくは0.02〜0.04質量%である。
また、本発明のフォトレジスト剥離液組成物に用いる第四級水酸化アンモニウム塩としては、テトラメチルアンモニウムヒドロキシド(以下、TMAHと記す)、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルエチルアンモニウムヒドロキシド、ジメチルジエチルアンモニウムヒドロキシド、トリメチル(2−ヒドロキシエチル)アンモニウムヒドロキシド(コリン)、トリエチル(2−ヒドロキシエチル)アンモニウムヒドロキシド等が挙げられる。中でも好ましくは、TMAHである。これらの第四級水酸化アンモニウム塩は、単独で用いても2種以上を組合せて用いてもよい。第四級水酸化アンモニウム塩の濃度は、その除去対象によって適宜決定してよいが、好ましくは組成物全体に対し、1〜10質量%であり、特に好ましくは2〜6質量%である。
さらに、フォトレジスト剥離液組成物の水の含有量は、その除去対象等によって適宜決定してよいが、例えばフッ素化合物又は第四級水酸化アンモニウム塩を含有する場合、フッ素化合物又は第四級水酸化アンモニウム塩の解離が大きいと、レジスト及びレジスト変質層除去性は高くなるが、銅や各種low−k膜に対してアタックが大きくなるため、組成物に対し10質量%以下が好ましい。
本発明のフォトレジスト剥離液組成物による処理方法は特に限定されず、例えば組成物中に基板を浸漬することにより、フォトレジスト変質層及び側壁保護堆積膜をフォトレジストとともに剥離することができる。処理条件も特に限定されないが、通常40〜90℃で5〜30分程度でよい。
本発明のフォトレジストの剥離方法は、まずアセチレンアルコール化合物又は有機スルホン酸化合物を含有する第1液により、ドライエッチング後の基板に第1段階の処理を施し、さらに多価アルコール及びその誘導体のうちの少なくとも1種を含有する第2液により第2段階の処理を施す。
剥離液による処理は、剥離液とフォトレジストを効果的に接触させることができれば特に限定されないが、好ましくは浸漬法を用いる。剥離液による処理条件はレジスト材料、ドライエッチングの条件等により適宜変更可能である。通常第1段階の処理は、温度を好ましくは40〜100℃、より好ましくは50〜90℃とし、処理時間を好ましくは3〜40分、より好ましくは5〜30分とする。第2段階の処理は、加熱しても室温で行ってもよいが、好ましくは25〜90℃、より好ましくは25〜80℃とする。処理時間は比較的短時間でよく、好ましくは3〜20分、より好ましくは5〜15分である。
本発明の剥離方法の好ましい態様では、第1段階及び第2段階の処理をそれぞれ上記の第1液及び第2液に浸漬することにより行う。その際、剥離能を高めるために第2液にフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を添加してもよい。また、多価アルコール及びその誘導体のうちの少なくとも1種を第1液にも含有させてよく、第1液に有機スルホン酸化合物を含有する場合は、第2液にアセチレンアルコール化合物を添加してもよい。
フォトレジスト及びフォトレジスト変質層を剥離した後、リンス処理を施す。リンス処理は基板をそのまま水洗しても、必要に応じてアルコール、ケトン等で洗浄した後、水洗してもよい。
本発明のフォトレジスト剥離液組成物を以下の実施例によりさらに詳細に説明するが、本発明はこれらの実施例に限定されるものではない。
実施例1〜28、比較例1〜36
I.フォトレジスト剥離液組成物の調製
フォトレジスト剥離液組成物を構成する表1〜表3に示す成分を加え、均一に混合することにより、各フォトレジスト剥離液組成物を調製した。
II.フォトレジスト及びフォトレジスト変質層等の剥離評価試験
1)評価試験1
(実施例1〜15及び比較例1〜21:フォトレジスト変質層及び側壁保護堆積膜の厚さ(T)がそれぞれT≦5nmの場合)
シリコンウェハ上にTaをバリアメタルに用いたCuダマシン配線、層間絶縁膜(low−k膜)等を順次成膜し、層間絶縁膜上に塗布、露光、現像したKrFフォトレジストをマスクとしてドライエッチングを行いビアホールを形成した。このウェハをN−メチル−2−ピロリリジノンに50℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行い、フォトレジスト評価用ウェハを得た(同一の処理を行ったウェハをN−メチル−2−ピロリリジノン中に50℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行った後、ウェハの断面を電子顕微鏡により観察したところ、フォトレジスト表面及びビアホール側壁にフォトレジスト変質層及び側壁保護堆積膜の薄い膜(5nm以下)が生成していた。)。
得られたフォトレジスト評価用ウェハをそれぞれ表1に示すフォトレジスト剥離液に80℃、10分間浸漬処理し、超純水による流水リンス処理、乾燥を行った後、電子顕微鏡によりフォトレジスト及びフォトレジスト変質層の剥離性、Cuアタック性、low−k膜アタック性を確認した。その結果を表1に示す。
Figure 2006251491
Figure 2006251491
2)評価試験2
(実施例16〜21及び比較例22〜31:フォトレジスト変質層及び側壁保護堆積膜の厚さ(T)がそれぞれ5nm<T≦10nmの場合)
シリコンウェハ上にTaをバリアメタルに用いたCuダマシン配線、層間絶縁膜(low−k膜)等を順次成膜し、層間絶縁膜上に塗布、露光、現像したKrFフォトレジストをマスクとしてドライエッチングを行いビアホールを形成した。このウェハをN−メチル−2−ピロリリジノンに50℃、10分間浸漬処理し、超純水にて流水リンス処理、乾燥を行いフォトレジスト評価用ウェハを得た(同一の処理を行ったウェハをN−メチル−2−ピロリリジノンに50℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行い、ウェハの断面を電子顕微鏡により観察したところ、フォトレジスト表面及びビアホール側壁に厚さが5nmを超え、10nm以下のフォトレジスト変質層又は側壁保護堆積膜膜が生成していた。)。
得られたフォトレジスト評価用ウェハをそれぞれ表2に示すフォトレジスト剥離液に80℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行った後、電子顕微鏡によりフォトレジスト及びフォトレジスト変質層の剥離性、Cuアタック性、low−k膜アタック性を確認した。その結果を表2に示す。
Figure 2006251491
3)評価試験3
(実施例22〜28及び比較例32〜36:フォトレジスト変質層及び側壁保護堆積膜の厚さ(T)がそれぞれ10nm<Tの場合)
シリコンウェハ上にTaをバリアメタルに用いたCuダマシン配線、層間絶縁膜(low−k膜)等を順次成膜し、層間絶縁膜上に塗布、露光、現像したArFレジストをマスクとしてドライエッチングを行いビアホールを形成した。このウェハをN−メチル−2−ピロリリジノンに50℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行いフォトレジスト評価用ウェハを得た(同一の処理を行ったウェハをN−メチル−2−ピロリリジノンに50℃、10分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行い、ウェハの断面を電子顕微鏡により観察したところ、フォトレジスト表面及びビアホール側壁にフォトレジスト変質層又は側壁保護堆積膜膜が10nmより厚く生成していた。)。
フォトレジスト評価用ウェハをそれぞれ表3に示すフォトレジスト剥離液(第1液)に80℃、20分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行った。さらに、このウェハを表3に示すフォトレジスト剥離液(第2液)に80℃、15分間浸漬処理し、超純水による流水リンス処理、及び乾燥を行い、電子顕微鏡によりフォトレジスト及びフォトレジスト変質層剥離性、Cuアタック性、low−k膜アタック性を確認した。その結果を表3に示す。
Figure 2006251491

Claims (11)

  1. アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種と、多価アルコール及びその誘導体のうちの少なくとも1種とを含有する、フォトレジスト剥離液組成物。
  2. さらにフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を含有する、請求項1に記載のフォトレジスト剥離液組成物。
  3. アセチレンアルコール化合物が2−ブチン−1,4−ジオールである、請求項1又は2に記載のフォトレジスト剥離液組成物。
  4. 有機スルホン酸化合物がメタンスルホン酸である、請求項1〜3のいずれかに記載のフォトレジスト剥離液組成物。
  5. フッ素化合物がフッ化アンモニウムである、請求項1〜4のいずれかに記載のフォトレジスト剥離液組成物。
  6. 水の含有量が組成物に対し10質量%以下である、請求項1〜5のいずれかに記載のフォトレジスト剥離液組成物。
  7. 配線材料として銅又は層間絶縁膜として低誘電率膜を有する半導体回路素子の製造に用いる、請求項1〜6のいずれかに記載のフォトレジスト剥離液組成物。
  8. 請求項1〜7のいずれかに記載のフォトレジスト剥離液組成物を用いる、フォトレジストの剥離方法。
  9. アセチレンアルコール化合物及び有機スルホン酸化合物のうちの少なくとも1種を含有する第1液による処理と、多価アルコール及びその誘導体のうちの少なくとも1種を含有する第2液による処理とを含む、フォトレジストの剥離方法。
  10. 第2液にフッ素化合物及び第四級水酸化アンモニウム塩のうちの少なくとも1種を含有する、請求項9に記載のフォトレジストの剥離方法。
  11. 配線材料として銅又は層間絶縁膜として低誘電率膜を有する半導体回路素子の製造に用いる、請求項9又は10に記載のフォトレジストの剥離方法。
JP2005069221A 2005-03-11 2005-03-11 フォトレジスト剥離液組成物及びフォトレジストの剥離方法 Expired - Fee Related JP4988165B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2005069221A JP4988165B2 (ja) 2005-03-11 2005-03-11 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
SG200601448A SG126052A1 (en) 2005-03-11 2006-03-06 Composition for photoresist stripping solution andprocess of photoresist stripping
EP06004791A EP1701217A3 (en) 2005-03-11 2006-03-09 Composition for photoresist stripping solution and process of photoresist stripping
US11/371,444 US7816312B2 (en) 2005-03-11 2006-03-09 Composition for photoresist stripping solution and process of photoresist stripping
TW095108208A TW200639594A (en) 2005-03-11 2006-03-10 Composition for photoresist stripping solution and process of photoresist stripping
CN2006100547502A CN1831654B (zh) 2005-03-11 2006-03-10 光致抗蚀剂剥离液组合物以及光致抗蚀剂的剥离方法
KR1020060022758A KR20060098333A (ko) 2005-03-11 2006-03-10 포토레지스트 박리액 조성물 및 포토레지스트의 박리방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005069221A JP4988165B2 (ja) 2005-03-11 2005-03-11 フォトレジスト剥離液組成物及びフォトレジストの剥離方法

Publications (2)

Publication Number Publication Date
JP2006251491A true JP2006251491A (ja) 2006-09-21
JP4988165B2 JP4988165B2 (ja) 2012-08-01

Family

ID=36469678

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005069221A Expired - Fee Related JP4988165B2 (ja) 2005-03-11 2005-03-11 フォトレジスト剥離液組成物及びフォトレジストの剥離方法

Country Status (7)

Country Link
US (1) US7816312B2 (ja)
EP (1) EP1701217A3 (ja)
JP (1) JP4988165B2 (ja)
KR (1) KR20060098333A (ja)
CN (1) CN1831654B (ja)
SG (1) SG126052A1 (ja)
TW (1) TW200639594A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010016350A1 (ja) * 2008-08-05 2010-02-11 三菱瓦斯化学株式会社 残渣剥離液組成物およびそれを用いた半導体素子の洗浄方法
KR20110088496A (ko) 2008-09-19 2011-08-03 미츠비시 가스 가가쿠 가부시키가이샤 구리 배선 표면 보호액 및 반도체 회로의 제조 방법
JP2019121639A (ja) * 2017-12-28 2019-07-22 東京応化工業株式会社 リワーク方法、及び酸性洗浄液

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
WO2008090418A1 (en) * 2007-01-22 2008-07-31 Freescale Semiconductor, Inc. Liquid cleaning composition and method for cleaning semiconductor devices
US8551682B2 (en) * 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
TWI459875B (zh) * 2012-04-20 2014-11-01 Far Eastern New Century Corp A method for preparing a circuit board having a patterned conductive layer
KR20200034830A (ko) 2013-12-06 2020-03-31 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
US9768058B2 (en) * 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
JP6629880B2 (ja) * 2015-12-11 2020-01-15 富士フイルム株式会社 洗浄液、基板洗浄方法、及び、半導体デバイスの製造方法
WO2019190653A1 (en) 2018-03-28 2019-10-03 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
KR102668667B1 (ko) * 2019-02-21 2024-05-24 동우 화인켐 주식회사 레지스트 박리액 조성물

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08202052A (ja) * 1995-01-31 1996-08-09 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
JPH11311867A (ja) * 1998-02-25 1999-11-09 Kao Corp 剥離剤組成物
JP2000019745A (ja) * 1998-07-06 2000-01-21 Kao Corp 剥離剤組成物
JP2000267302A (ja) * 1999-03-18 2000-09-29 Kao Corp 剥離剤組成物
JP2001242642A (ja) * 2000-02-29 2001-09-07 Tokyo Ohka Kogyo Co Ltd アッシング後の処理液およびこれを用いた処理方法
JP2002072505A (ja) * 2000-08-29 2002-03-12 Nagase Kasei Kogyo Kk フォトレジスト剥離剤組成物およびその使用方法
JP2006085017A (ja) * 2004-09-17 2006-03-30 Tokyo Ohka Kogyo Co Ltd ホトレジスト用剥離液およびこれを用いた基板の処理方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4215005A (en) * 1978-01-30 1980-07-29 Allied Chemical Corporation Organic stripping compositions and method for using same
DE3501675A1 (de) * 1985-01-19 1986-07-24 Merck Patent Gmbh, 6100 Darmstadt Mittel und verfahren zur entfernung von fotoresist- und stripperresten von halbleitersubstraten
JPH0721638B2 (ja) * 1986-07-18 1995-03-08 東京応化工業株式会社 基板の処理方法
JP2591626B2 (ja) 1987-09-16 1997-03-19 東京応化工業株式会社 レジスト用剥離液
US4832802A (en) * 1988-06-10 1989-05-23 Mcgean-Rohco, Inc. Acid zinc-nickel plating baths and methods for electrodepositing bright and ductile zinc-nickel alloys and additive composition therefor
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5480585A (en) * 1992-04-02 1996-01-02 Nagase Electronic Chemicals, Ltd. Stripping liquid compositions
JP2980772B2 (ja) 1992-04-02 1999-11-22 ナガセ電子化学株式会社 剥離剤組成物
DE69321248T2 (de) * 1992-10-30 1999-03-18 Daikin Industries, Ltd., Osaka Verfahren zur herstellung von fluorierten dicarbonylverbindungen
JP3233379B2 (ja) 1993-08-26 2001-11-26 東京応化工業株式会社 レジスト用剥離液組成物
JP3264405B2 (ja) * 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
JPH08262746A (ja) 1995-03-28 1996-10-11 Mitsubishi Gas Chem Co Inc フォトレジスト剥離剤組成物および剥離方法
US5567574A (en) * 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
JPH08334905A (ja) 1995-06-08 1996-12-17 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
JP3755776B2 (ja) * 1996-07-11 2006-03-15 東京応化工業株式会社 リソグラフィー用リンス液組成物及びそれを用いた基板の処理方法
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
JP2000162788A (ja) 1998-11-27 2000-06-16 Tokyo Ohka Kogyo Co Ltd 銅配線形成基板に用いるホトレジスト用剥離液組成物およびこれを用いたレジスト剥離方法
JP4224651B2 (ja) 1999-02-25 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離剤およびそれを用いた半導体素子の製造方法
EP1138726B1 (en) * 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
JP3738996B2 (ja) * 2002-10-10 2006-01-25 東京応化工業株式会社 ホトリソグラフィー用洗浄液および基板の処理方法
JP4474776B2 (ja) 2001-01-22 2010-06-09 東ソー株式会社 レジスト剥離剤
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
CN1441043A (zh) 2002-02-06 2003-09-10 希普利公司 清洁用组合物
JP4434950B2 (ja) * 2002-08-22 2010-03-17 ダイキン工業株式会社 剥離液
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7399365B2 (en) * 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7671001B2 (en) * 2003-10-29 2010-03-02 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
EP1628336B1 (en) * 2004-08-18 2012-01-04 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid and cleaning method
JP4776191B2 (ja) * 2004-08-25 2011-09-21 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物、並びにそれを用いた残渣除去方法
US7273813B2 (en) * 2005-02-08 2007-09-25 Applied Materials, Inc. Wafer cleaning solution for cobalt electroless application
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
KR20070120609A (ko) * 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
WO2006124201A2 (en) * 2005-05-13 2006-11-23 Sachem, Inc. Selective wet etching of oxides
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US20070052105A1 (en) * 2005-09-07 2007-03-08 Rohm And Haas Electronic Materials Llc Metal duplex method
TWI347495B (en) * 2006-01-08 2011-08-21 Rohm & Haas Elect Mat Coating compositions for photoresists
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20080039356A1 (en) * 2006-07-27 2008-02-14 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08202052A (ja) * 1995-01-31 1996-08-09 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
JPH11311867A (ja) * 1998-02-25 1999-11-09 Kao Corp 剥離剤組成物
JP2000019745A (ja) * 1998-07-06 2000-01-21 Kao Corp 剥離剤組成物
JP2000267302A (ja) * 1999-03-18 2000-09-29 Kao Corp 剥離剤組成物
JP2001242642A (ja) * 2000-02-29 2001-09-07 Tokyo Ohka Kogyo Co Ltd アッシング後の処理液およびこれを用いた処理方法
JP2002072505A (ja) * 2000-08-29 2002-03-12 Nagase Kasei Kogyo Kk フォトレジスト剥離剤組成物およびその使用方法
JP2006085017A (ja) * 2004-09-17 2006-03-30 Tokyo Ohka Kogyo Co Ltd ホトレジスト用剥離液およびこれを用いた基板の処理方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010016350A1 (ja) * 2008-08-05 2010-02-11 三菱瓦斯化学株式会社 残渣剥離液組成物およびそれを用いた半導体素子の洗浄方法
JP5370358B2 (ja) * 2008-08-05 2013-12-18 三菱瓦斯化学株式会社 残渣剥離液組成物およびそれを用いた半導体素子の洗浄方法
KR20110088496A (ko) 2008-09-19 2011-08-03 미츠비시 가스 가가쿠 가부시키가이샤 구리 배선 표면 보호액 및 반도체 회로의 제조 방법
JP2019121639A (ja) * 2017-12-28 2019-07-22 東京応化工業株式会社 リワーク方法、及び酸性洗浄液
JP7150433B2 (ja) 2017-12-28 2022-10-11 東京応化工業株式会社 リワーク方法、及び酸性洗浄液

Also Published As

Publication number Publication date
TW200639594A (en) 2006-11-16
US20060205623A1 (en) 2006-09-14
EP1701217A2 (en) 2006-09-13
CN1831654A (zh) 2006-09-13
CN1831654B (zh) 2012-07-18
JP4988165B2 (ja) 2012-08-01
EP1701217A3 (en) 2007-01-03
KR20060098333A (ko) 2006-09-18
US7816312B2 (en) 2010-10-19
SG126052A1 (en) 2006-10-30

Similar Documents

Publication Publication Date Title
JP4988165B2 (ja) フォトレジスト剥離液組成物及びフォトレジストの剥離方法
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
JP5537126B2 (ja) エッチング残渣を除去するための組成物基板及びその使用
KR101226533B1 (ko) 포토레지스트 잔류물 및 폴리머 잔류물 제거 조성물
JP4373457B2 (ja) フォトレジストのための組成物及び方法
JP2011503899A (ja) 半導体基板から金属ハードマスクエッチング残留物を除去するための組成物
US20080096785A1 (en) Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US20070149430A1 (en) Formulation for removal of photoresist, etch residue and BARC
KR100946636B1 (ko) 포토레지스트 잔사제거액 조성물
EP1877870B1 (en) Non-aqueous photoresist stripper that inhibits galvanic corrosion
EP1125168A1 (en) Stripping compositions for semiconductor substrates
KR20060108436A (ko) 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US20060091355A1 (en) Solution and method for removing ashing residue in Cu/low-k multilevel interconnection structure
JP2003098691A (ja) レジスト除去用組成物及びこれを利用したレジスト除去方法
JP2005535784A (ja) 清浄液
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법
JP4472369B2 (ja) 半導体基板又は半導体素子の洗浄方法
KR20090080226A (ko) 포토레지스트 잔류물 제거용 박리액 조성물 및 이를 이용한박리 방법
JPH09283481A (ja) 半導体回路用洗浄液及び半導体回路の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110517

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111220

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120426

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees