JP2005229052A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2005229052A
JP2005229052A JP2004038477A JP2004038477A JP2005229052A JP 2005229052 A JP2005229052 A JP 2005229052A JP 2004038477 A JP2004038477 A JP 2004038477A JP 2004038477 A JP2004038477 A JP 2004038477A JP 2005229052 A JP2005229052 A JP 2005229052A
Authority
JP
Japan
Prior art keywords
etching
insulating film
contact hole
dry etching
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004038477A
Other languages
English (en)
Inventor
Takashi Kokubu
崇 国分
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2004038477A priority Critical patent/JP2005229052A/ja
Publication of JP2005229052A publication Critical patent/JP2005229052A/ja
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】ボーイング形状や段差形状にならないようなコンタクトプラグを形成するために適した形状のコンタクトホールを形成することができ、かつコンタクト抵抗不良の低減ができる半導体装置の製造方法を提供する。
【解決手段】層間絶縁膜2にコンタクトホール5を形成する際に、最初にフォトレジスト3と層間絶縁膜2とのエッチング選択比が低い条件で、層間絶縁膜2の途中まで異方性のドライエッチングを行う。次に、フォトレジスト3と層間絶縁膜2とのエッチングの選択比が高い条件で能動素子の電気的接続領域あるいは電気配線の上まで異方性のドライエッチングを行う。
【選択図】図1

Description

半導体装置の製造方法に係り、より具体的には、半導体基板に形成される能動素子の電気的接続領域と電気配線、あるいは電気配線間との電気的接続を行うために必要な層間絶縁膜のコンタクトホールの形成方法に関する。
近年、半導体素子の高集積化の要求に伴い、絶縁膜に形成するコンタクトホールの形成方法が困難になってきている。コンタクトホールとは、半導体基板に形成されるトランジスタ素子等の能動素子の電気的接合領域(例えば、トランジスタ素子ではソース部、ドレイン部あるいはゲート部)と電気配線、あるいは電気配線間同士の電気的接続を行うためのコンタクトプラグを形成するためのホールである。
コンタクトホールの形成において、困難度を増している要因としてコンタクトホールのホール径の微細化とアスペクト比の増大が挙げられる。ここで、アスペクト比とは、コンタクトホールを形成する絶縁膜の厚さをホール径で除した値をいう。
コンタクトホールの形成は、ドライエッチング法によって行われる。図4に従来のコンタクトホールの形成の工程断面図を示す。
まず、図4(a)について説明する。図4(a)では、電気配線(あるいは能動素子の電気的接続領域)が形成されている半導体基板1の上に、エッチングストッパとしての下地膜2が形成されている。下地膜2の上には絶縁膜3が形成されている。絶縁膜3の上にドライエッチングのエッチングマスクとしてのフォトレジスト3が形成されている。フォトレジスト3は、フォトリソグラフィ法によってコンタクトホール5(図4(b)参照)を形成する領域は除去されている。
図4(b)について説明する。フォトレジスト3をエッチングマスクとしてドライエッチングを行い、コンタクトホール5を形成する。ドライエッチングの条件は、異方性エッチング条件で、かつフォトレジスト3と絶縁膜3との選択比が高い条件で行っている。このような条件においては、アスペクト比の高いコンタクトホール5の形状は、図4(b)に示すようなボーイング形状になりやすい。このようなボーイング形状になるのは以下の理由による。すなわち、コンタクトホール5の開口部5aでは、異方性エッチングを行う被エッチング面に対して垂直に入射するイオンがほとんどである。しかし、エッチングが進むにつれて、垂直に入射するイオンが他のイオンや中性分子と衝突して斜めに入射する確率が高くなる。その結果、コンタクトホール5の形状は、中央部分が膨らんだ形状になる。
コンタクトホール5の底面のホール径を設定値通りに制御した場合、ボーイング形状が発生すると、コンタクトホール5の開口部5aが狭くなる。コンタクトプラグの形成前に下地としてチタン(以下Tiと称す)や窒化チタン(以下TiNと称す)等の金属薄膜をコンタクトホール5の内壁面に形成するが、ボーイング形状であるとコンタクトホール5の開口部5aが狭いので底面に設定値通りに金属薄膜を形成することが困難になる。金属薄膜はスパッタリング法にて形成されることが大半であるが、ボーイング形状となったコンタクトホール5では開口部5aの近傍に金属薄膜が厚く堆積する現象、すなわちオーバーハングが生じる。オーバーハングが起こると、コンタクトホール5の開口部5aの開口径はさらに小さくなり底面部まで金属薄膜を形成する粒子が到達しにくくなる。したがって、底面に十分な下地金属が形成されないので、コンタクトプラグ自体が断線したり、あるいは断線していなくてもコンタクト抵抗が高くなってしまう。このため、コンタクトプラグの形成において不良が発生する確率が高くなる。
そこで、特許文献1では、まずコンタクトホールを形成するために、ドライエッチングを2段階に行っている。最初は等方性のドライエッチングを絶縁膜の膜厚方向の途中まで行い、次に異方性のドライエッチングを絶縁膜の最後まで行う。等方性のエッチングは、絶縁膜の膜厚方向だけでなく、横方向にも等しくエッチングが行われるので、コンタクトホールの開口部5aのホール径は適度に広げられる。次に異方性のエッチングを行うことによって、コンタクトホールの形状を安定化させる。この方法で形成されたコンタクトホールの断面図を図5に示す。コンタクトホール5の開口部5aが広がっているので、コンタクトプラグ形成のための下地金属をコンタクトホールの底面にほぼ確実に形成することができる。
特開平8−191062号公報
ところが、上記の方法には以下の課題がある。すなわち、アスペクト比の高いコンタクトホールを形成する絶縁膜にエッチングレートの高い材料が使用されている場合、等方性エッチングを行う際に、コンタクトホールの開口径を制御することが困難であることが挙げられる。例えば、SOG(Spin On Glass)等を使用した場合である。また、エッチングレートの高い材料の上にエッチングレートの低い材料を形成するような層状の絶縁膜が使用される場合が考えられる。この場合には、エッチングレートの低い材料を等方性エッチングしている場合には、問題はないがエッチングレートの高い材料の領域に入ると急激にエッチングが進んでしまう。その結果、エッチング形状がエッチングレートの高い材料が横方向にエッチングが進み、段差形状となる。また、最悪な場合には、エッチングレートの高い材料のエッチングが進みすぎてしまい、絶縁膜が剥離する可能性もある。
本発明の目的は、ボーイング形状や段差形状にならないようなコンタクトプラグを形成するために適した形状のコンタクトホールを形成することができ、かつコンタクト抵抗不良の低減ができる半導体装置の製造方法を提供することにある。
上記課題を解決するために、能動素子の電気的接続領域あるいは電気配線上に形成された絶縁膜を有する半導体基板の前記絶縁膜に、ドライエッチング法によって前記能動素子の電気的接続領域と電気配線あるいは電気配線同士の電気的接続を行うためのコンタクトプラグを形成するためのコンタクトホールを形成する半導体装置の製造方法であって、前記絶縁膜上に、フォトリソグラフィ法によりフォトレジストをパターン形成してエッチングマスクを形成するエッチングマスク形成工程と、前記エッチングマスクと前記絶縁膜とのエッチング選択比(絶縁膜のエッチングスピード/エッチングマスクのエッチングスピード)が小さい条件で、前記絶縁膜を前記コンタクトホールの途中まで異方性エッチングを行う第一ドライエッチング工程と、前記エッチングマスクと前記絶縁膜とのエッチング選択比が大きい条件で前記絶縁膜を前記能動素子の電気的接続領域あるいは前記電気配線上まで異方性エッチングを行う第二ドライエッチング工程と、を有することを要旨とする。
この方法によれば、絶縁膜にコンタクトホールを形成する際に、最初にエッチングマスクと絶縁膜とのエッチング選択比が低い条件で、絶縁膜の途中まで異方性のドライエッチングを行う。これにより、エッチングマスクが半導体基板表面に対して垂直方向にエッチングされるだけでなく、水平方向にも若干エッチングされるので、コンタクトホールの開口径がエッチングマスクを形成した初期の開口径よりも広くエッチングできる。また、異方性エッチングを行うので等方性エッチングと比較して、形状の制御をよりよく行うことができる。
次に、エッチングマスクと絶縁膜とのエッチングの選択比が高い条件で能動素子の電気的接続領域あるいは電気配線の上まで異方性のドライエッチングを行う。第一ドライエッチング工程で、コンタクトホールの開口径が広げられているので、選択性の高いエッチングを行っても、半導体基板表面に対して垂直に入射したイオンが散乱してコンタクトホールの側面をエッチングする確率が低くなる。したがって、コンタクトホールがボーイング形状になるのを防止することができ、逆にテーパ型あるいはその型に近い形状が得られる。
また、本発明は、能動素子の電気的接続領域あるいは電気配線上に形成された絶縁膜を有する半導体基板の前記絶縁膜に、ドライエッチング法によって前記能動素子の電気的接続領域と電気配線あるいは電気配線同士の電気的接続を行うためのコンタクトプラグを形成するためのコンタクトホールを形成する半導体装置の製造方法であって、前記絶縁膜上に反射防止膜を形成する反射防止膜形成工程と、前記反射防止膜上に、フォトリソグラフィ法によりフォトレジストをパターン形成してエッチングマスクを形成するエッチングマスク形成工程と、前記エッチングマスクと前記絶縁膜とのエッチング選択比が小さい条件で、前記反射防止膜と前記絶縁膜を前記コンタクトホールの途中まで連続的に異方性エッチングを行う第一ドライエッチング工程と、前記エッチングマスクと前記絶縁膜とのエッチング選択比が大きい条件で前記絶縁膜を前記能動素子の電気的接続領域あるいは前記電気配線上まで異方性エッチングを行う第二ドライエッチング工程と、を有することを要旨とする。
この方法によれば、上記の効果に加え、第一ドライエッチング工程にて絶縁膜上に形成されている反射防止膜を絶縁膜のエッチング条件と同じ条件でエッチングすることができる。これにより、ドライエッチングの工程を短縮化することができ、製造工程のスループットを上げることができる。
また、本発明は、前記第二ドライエッチング工程で前記絶縁膜に形成する前記コンタクトホールの開口径は、前記第一ドライエッチング工程で形成する前記コンタクトホールの開口径以下であることを要旨とする。
これによれば、第一ドライエッチング工程ではエッチングマスクと絶縁膜の選択比が低い条件でエッチングを行っているので、エッチングマスクも半導体基板表面の垂直方向だけでなく水平方向にもエッチングされる。したがって、絶縁膜の開口径はエッチングマスクの初期の開口径よりも大きくなる。次に、第二エッチング工程ではエッチングマスクと絶縁膜の選択比が高い条件でエッチングを行っているので、絶縁膜の開口径は、第一ドライエッチング工程で形成したコンタクトホールの開口径よりも広がることはない。すなわち、コンタクトホールの形状がボーイング形状とならない。このような形状のコンタクトホールにおいては、能動素子の電気的接続領域と電気配線、あるいは電気配線同士を電気的接続を行うための金属薄膜形成工程での不良を低減できる。
また、本発明は、前記第一ドライエッチング工程で形成する前記コンタクトホールの深さは、前記絶縁膜表面から前記能動素子の電気的接続領域あるいは前記電気配線までの距離の20%から80%の範囲内であることを要旨とする。
これによれば、第一ドライエッチング工程でのエッチング量がコンタクトホール全体の深さの20%未満である場合には、コンタクトホールの開口径がエッチングマスクを形成した初期の開口径よりも広くエッチングされる割合が低くなり、かつ第二ドライエッチング工程において、従来のようにコンタクトホールの形状がボーイング形状になる可能性が高くなり、その後の金属薄膜形成が困難になる。その結果、コンタクトプラグが断線する等の不良が生じる可能性が高くなる。また、第一ドライエッチング工程でのエッチング量が80%を超えて形成される場合には、エッチングマスクが半導体基板表面に対して水平方向にエッチングが進みすぎ、開口径が広がりすぎてしまい、開口径が設計値の許容範囲を超えて大きくなりすぎる可能性がある。その結果、やはり電気配線同士の断線等が生じる可能性が高くなる。
また、本発明は、前記第一ドライエッチング工程で使用するフロロカーボンガスのF/C比(フロロカーボンガス中のフッ素含有量/フロロカーボンガス中のカーボン含有量)は、前記第二ドライエッチング工程で使用するフロロカーボンガスのF/C比よりも高いガスを用いることを要旨とする。
この方法によれば、第一ドライエッチング工程で使用するフロロカーボンガスのF/C比が比較的小さいので、エッチング反応の副生成物として形成される炭素系ポリマーの生成量が少ない。したがって、コンタクトホール形成時にその側壁もエッチングされるので、コンタクトホールの開口部を広く形成することができる。また、第二ドライエッチング工程で使用するフロロカーボンガスのF/C比が第一ドライエッチングで使用するフロロカーボンガスのF/C比よりも大きいので、炭素系ポリマーの生成量が多くなり側壁保護膜がほぼ確実に形成される。したがって、アスペクト比の高いコンタクトホールでもテーパ形状に形成することができる。
また、本発明は、上記発明に加え、前記第二ドライエッチング工程後、前記絶縁膜上に形成されている前記エッチングマスクを除去するエッチングマスク除去工程と、前記半導体基板上及び前記コンタクトホールの側面と底面に金属薄膜を形成する金属薄膜形成工程と、前記半導体基板上の前記コンタクトホールを埋め込むように導電膜を形成する導電膜形成工程と、余分な前記導電膜を除去して平坦化する平坦化工程と、を有することを要旨とする。
また、本発明は、上記発明に加え、前記第二ドライエッチング工程後、前記絶縁膜上に形成されている前記エッチングマスク及び前記反射防止膜を除去するエッチングマスク除去工程と、前記半導体基板上及び前記コンタクトホールの側面と底面に金属薄膜を形成する金属薄膜形成工程と、前記半導体基板上の前記コンタクトホールを埋め込むように導電膜を形成する導電膜形成工程と、余分な前記導電膜を除去して平坦化する平坦化工程と、を有することを要旨とする。
この方法によれば、能動素子の電気的接続領域と電気配線、あるいは電気配線同士を電気的接続を行うため、例えばスパッタ法による金属薄膜の形成を行う。この場合、コンタクトホールの開口径が広くなっているので金属薄膜がコンタクトホールの底面までほぼ確実に形成することができる。また、第二ドライエッチング工程で形成されたコンタクトホールの形状は半導体基板表面に対して垂直かあるいはテーパ形状となっているため、コンタクトホールの奥側の側面にも金属薄膜を形成することができる。したがって、その後CVD法等で金属材料を埋め込み、その後余分な金属材料膜を除去して平坦化することにより、良好なコンタクトプラグを形成することができる。
本発明の第一の実施形態を図1及び図2を用いて説明する。図1(a)〜(c)及び図2(a)〜(d)は本実施形態での半導体装置の製造方法を示す工程断面図である。
図1(a)では、エッチングマスク形成工程等について説明する。図示されているシリコン基板1には、トランジスタ等の能動素子が形成されているか、あるいは、その能動素子と電気的接続をとるための電気配線が形成されている。本明細書では、能動素子あるいは電気配線を配線層1と定義する。したがって、配線層1は半導体基板表面に形成されているトランジスタ等の能動素子を指す場合や、層間絶縁膜及びその上に電気配線層を指す場合もある。配線層1の上には層間絶縁膜2が形成されている。本実施形態においては、層間絶縁膜2としてPECVD(Plasma Enhanced Chemical Vapor Deposition)法により形成された酸化シリコン膜を用いている。ここで、層間絶縁膜2は製造方法に応じて特性の異なる膜を多重に形成する場合もある。例えば、最初にパッシベーション性の高いPECVD法で形成した酸化シリコン膜の上に、自己平坦性の高いSOG(Spin On Glass)膜を形成する場合もある。または、CVD法で形成した酸化シリコン膜でSOG膜を挟み込んだ3層構造とする場合もある。
酸化シリコン膜2の上にエッチングマスク用のフォトレジスト3をフォトリソグラフィ法にて形成する。フォトレジスト3の開口部3aの平面形状は円形である。ただし、開口部3aの形状は通常は円形であるが、円形に限らず矩形等でもよい。本実施形態では、開口部3aの径を0.25〜0.4μmの範囲で形成している。
図1(b)では、第一ドライエッチング工程について説明する。第一ドライエッチングでは、ドライエッチング法にて酸化シリコン膜2を途中までエッチングし、コンタクトホール5の開口部5aを形成する。このとき、第一ドライエッチングの条件は、フォトレジスト3と酸化シリコン膜2のフォトレジスト選択比が低い条件で行っている。ここでフォトレジスト選択比とは、フォトレジスト3のエッチングレートと層間絶縁膜2のエッチングレートとの比のことをいう。すなわち、フォトレジスト選択比=(層間絶縁膜のエッチングレート)/(フォトレジストのエッチングレート)のことである。
また、深さ方向に対するエッチングレートが高くなる異方性エッチング条件で行っている。本実施形態での第一ドライエッチング条件は、ガス種としてCF4/O2/Ar混合ガスを使用している。ここで、第一ドライエッチング条件で使用するフロロカーボンガスはCF4ガスであり、F/C比が高いガスである。また、異方性エッチング条件であるのでRF(Radio Frequency)パワーを印加している。混合ガスの比率及びRFパワーは、層間絶縁膜2の膜質やコンタクトホール5の開口部5aの大きさ等の条件により所定の条件に設定される。
したがって、第一ドライエッチングを行うとフォトレジスト選択比が低いので酸化シリコン膜2だけでなくフォトレジスト3もエッチングされる。同図に示されている想像線はフォトレジスト3のエッチング量を模式的に示したものである。これにより、フォトリソグラフィ法で開口されたフォトレジスト3の開口部3aの開口径の大きさより第一ドライエッチングにより形成されたコンタクトホール5の開口部5aの開口径の大きさの方が若干大きくなる。また、第一ドライエッチング工程で使用するフロロカーボンガスのF/C比が比較的小さいので、エッチング反応の副生成物として形成される炭素系ポリマーの生成量が少ない。したがって、コンタクトホール5の形成時にその側壁もエッチングされることにより、フォトレジスト3の開口部3a及びコンタクトホール5の開口部5aの開口径が広がる。このように、コンタクトホール5の開口部5aの開口径が広がることにより、コンタクトホール5を介して形成される金属薄膜6及び導電膜7(図2(b)、図2(c)参照)の形成を安定化させることができる。詳細な説明は後述する。また、第一ドライエッチングは、異方性エッチング条件で行っているのでフォトレジスト3がシリコン基板1に対して水平方向にエッチングされ過ぎることはない。また、コンタクトホール5の開口部5aの側壁面もほぼ垂直に形成することができる。等方性エッチングにおいても、コンタクトホール5の開口部5aを広げることができるが、以下に示す懸念がある。すなわち、等方性エッチングはシリコン基板1に対しての深さ方向と水平方向のどちらも均等にエッチングが行われるので、例えば層間絶縁膜2がエッチングレートの高い膜質の場合には、コンタクトホール5の開口部5aの開口径が広がりすぎてしまい、設計値の許容範囲を超えてしまうおそれがある。また、層間絶縁膜2が多重構造になっている場合において、例えば層間絶縁膜2の表面側にはエッチングレートの低い膜Aが形成されており、その下にエッチングレートの高い膜Bが形成されている場合を想定する。この場合、膜Aのエッチングにおいてはコンタクトホール5の開口部5aの開口径を制御できていても、膜Bのエッチングでは水平方向にエッチングが急激に進む可能性が高くなる。したがってコンタクトホール5の断面形状は段差形状となる可能性が高い。コンタクトホール5が段差形状となると、金属薄膜6の形成に悪影響を及ぼすので導電膜7がうまく形成できなくなり、その結果、素子との電気的接続が断線する不良が生じやすくなる。また、あまりにも段差形状がひどい場合には膜自体が剥離する可能性もある。本実施形態においては、異方性エッチングであるので上記のような問題を回避することができる。
図1(c)では、第二ドライエッチング工程について説明する。第二ドライエッチング法では、第一ドライエッチング工程で形成したコンタクトホール5を最後まで開口する。第二ドライエッチングは、フォトレジスト選択比及び配線層との選択比が高い条件で行われる。ここで配線層との選択比は、フォトレジスト選択比と同様に、配線層との選択比=(層間絶縁膜のエッチングレート/配線層のエッチングレート)と定義される。また、第二ドライエッチング条件も第一ドライエッチング条件と同様、異方性エッチングの条件である。本実施形態での第二ドライエッチング条件は、RFパワーを印加して異方性エッチングとなるように設定している。また、ガス種としてC48/O2/CO/Ar混合ガスを使用している。一般的に、ドライエッチングに使用されるフロロカーボンガスにおいては、C/F比が高いものほど反応生成物が形成されやすい。ドライエッチング中に形成される反応生成物は、例えばコンタクトホール5等を形成する際に、側壁保護膜として機能する。本実施形態で使用するフロロカーボンガスは、カーボン含有比率が高いのでカーボン系の側壁保護膜が比較的多量に形成される。したがって、C/F比が高いフロロカーボンガスを使用するとさらに異方性エッチングの傾向が強くなる。しかし、側壁保護膜の形成が多すぎるとドライエッチングの反応が止まり、いわゆるエッチングストップの状態になりコンタクトホール5の開口ができなくなる。したがって、側壁保護膜の過剰な形成を防止し、エッチング反応とエッチング形状のバランスをとるために、O2を混合させてアッシング反応により余分な側壁保護膜を除去している。また、COは酸化シリコン膜2とエッチングストッパ膜としての窒化シリコン膜(図示せず)との選択比(酸化シリコン膜のエッチングレート/窒化シリコン膜のエッチングレート)を高める働きをする。Arはイオン性エッチング(あるいは物理的エッチング)を強め、異方性エッチングの働きを強める。
第二ドライエッチング工程では、フォトレジスト選択比が高い条件で行っているので、第一ドライエッチング工程よりフォトレジスト3の減少量は少ない。また、第二ドライエッチング工程によって形成されるコンタクトホール5の形状はテーパ形状となる。第二ドライエッチングの条件は、前述のようにカーボンの含有比率の高いフロロカーボンガスを使用しているため、側壁保護膜が形成されやすい。側壁保護膜は開口部5a近傍では、エッチング種のイオンの入射量が比較的多いので除去されやすい。一方、エッチングが進行している領域近傍に形成された側壁保護膜は、イオン入射量が減少するため除去されにくい。このような現象が連続して生じることにより、結果的に同図に示すようなテーパ形状となる。コンタクトホール5がテーパ形状となることにより、後に説明する金属薄膜形成が安定化する。
また、第一ドライエッチング工程により、コンタクトホール5の開口部5aの開口径が広くなっているので、イオンがコンタクトホール5の内部で散乱する確率が低くなるので、ボーイング形状の発生が抑制される。
第一ドライエッチング工程、第二ドライエッチング工程とドライエッチングを2条件に分割することにより図1(c)に示すような所望のコンタクトホール5の形状を得ることができる。ここで、最終的に同図のコンタクトホール5の形状を得るためには、第一ドライエッチングの加工距離は、コンタクトホールの深さ方向の距離に対して20%以上80%以下とする。望ましくは30%以上70%以下、望ましくは40%以上60%以下とする。第一ドライエッチング工程での加工距離がコンタクトホール5の深さ方向の距離に対して小さすぎる場合には、コンタクトホール5の開口径がフォトレジスト3の開口部3aの開口径よりも広くエッチングされる割合が低くなる。また、第二ドライエッチング工程において、従来のようにコンタクトホール5の形状がボーイング形状になる可能性が高くなり、その後の金属薄膜形成が困難になる。その結果、コンタクトプラグが断線する等の不良が生じる可能性が高くなる。一方、第一ドライエッチング工程での加工距離がコンタクトホール5の深さ方向の距離に対して大きすぎる場合には、フォトレジスト3がシリコン基板1の表面に対して水平方向にエッチングが進みすぎ、コンタクトホール5の開口部5aの開口径が広がりすぎてしまい、開口径が設計値の許容範囲を超えて大きくなりすぎる可能性がある。その結果、やはり電気配線同士の断線等が生じる可能性が高くなる。
図2(a)では、エッチングマスク除去工程について説明する。エッチングマスクとしてのフォトレジスト3の除去は硫酸剥離等のウェット処理による除去を行うか、あるいは酸素プラズマによるアッシング等により除去を行う。なお、第一ドライエッチング及び第二ドライエッチングでのラジカルやイオンにより、フォトレジスト3は変質しているので上記の除去方法を組み合わせてより確実に除去することもできる。
図2(b)では、金属薄膜形成工程について説明する。本実施形態では、Ti/TiNの2層構造の金属薄膜6をスパッタリング法にて形成する。コンタクトホール5が開口部5aの開口径が広く形成されており、底面部近傍ではテーパ形状となっているため、スパッタ法による金属原子等がコンタクトホール5の底面部及び側壁に侵入しやすくなる。したがって、コンタクトホール5の側壁そして特に底面部により確実に金属薄膜6を形成することができる。
図2(c)では、導電膜形成工程について説明する。本実施形態では、導電膜としてタングステン膜7をCVD法にて形成する。タングステン膜7はCVD法によって、コンタクトホール5の中に埋め込まれるように形成される。
図2(d)では、平坦化工程について説明する。コンタクトホール5の内部以外に形成されている余分なタングステン膜7を除去するとともに平坦化を行う。これにより、電気配線同士あるいは電気配線と能動素子とを電気的に接続するコンタクトプラグが形成される。タングステン膜7の除去及び平坦化はCMP(Chemical Mechanical Polishing)法によって行われる。なお、タングステン膜7の除去及び平坦化はCMPではなく、ドライエッチング法によるエッチバック法によって行ってもよい。
このようにして、金属薄膜6としてのTi/TiNの2層膜がコンタクトホール5の底面部と側壁に形成されているのでタングステン膜7で形成されたコンタクトプラグは断線等の不良が低減することができる。
第一の実施形態の効果を以下に記載する。
(1)層間絶縁膜2しての酸化シリコン膜にコンタクトホール5を形成する際に、最初にフォトレジスト3と酸化シリコン膜2とのフォトレジスト選択比が低い条件で、酸化シリコン膜2の途中まで第一ドライエッチングを行うことにより、フォトレジスト3が深さ方向にエッチングされるだけでなく、水平方向にも若干エッチングされる。したがって、コンタクトホール5の開口部5aの開口径がフォトレジスト3を形成した初期の開口径よりも広くすることができる。
(2)第一ドライエッチングとして異方性エッチングを行うので、等方性エッチングと比較して形状の制御をよりよく行うことができる。
(3)第一ドライエッチング工程で、コンタクトホール5の開口部5aの開口径が広げられているので、第二ドライエッチング工程で選択性の高いエッチングを行っても、シリコン基板1の表面に対して垂直に入射したイオンが散乱してコンタクトホール5の側面をエッチングする確率が低くなる。したがって、コンタクトホール5がボーイング形状になるのを防止することができ、逆にテーパ型あるいはその型に近い形状が得られる。
(4)第一ドライエッチング工程で、酸化シリコン膜2の加工距離の20%から80%の範囲内でエッチングを行っているので、コンタクトホール5を適切な形状、すなわちテーパ形状に加工することができる。
(5)第一ドライエッチング工程で使用するフロロカーボンガスはCF4ガスであり、F/C比が大きいので、エッチング反応の副生成物として形成される炭素系ポリマーの生成量が少なくなる。したがって、コンタクトホール5の形成時にその側壁もエッチングされるので、コンタクトホール5の開口部5aを広く形成することができる。また、第二ドライエッチング工程で使用するフロロカーボンガスはC48ガスであり、F/C比が第一ドライエッチングで使用するフロロカーボンガスのF/C比よりも小さいので、炭素系ポリマーの生成量が多くなりコンタクトホール5の側壁保護膜がほぼ確実に形成される。したがって、アスペクト比の高いコンタクトホール5でもテーパ形状に形成することができる。
(6)コンタクトホール5の開口部5aの開口径が広くなっているので金属薄膜6としてのTi/TiNの2層膜がコンタクトホール5の底面部までほぼ確実に形成することができる。また、第二ドライエッチング工程で形成されたコンタクトホール5の形状はテーパ形状となっているため、コンタクトホール5の側壁全体にも金属薄膜6を形成することができる。したがって、その後CVD法でタングステン膜7を埋め込み、その後余分なタングステン膜7を除去して平坦化することにより、良好なコンタクトプラグを形成することができる。
次に第二の実施形態を図3を用いて説明する。図3(a)〜(d)は、本実施形態での半導体装置の製造方法を示す工程断面図である。
図3(a)では、反射防止膜形成工程について説明する。反射防止膜4とは、フォトリソグラフィ法の露光工程において、アルミニウム等で形成された金属配線が露光光を反射して露光したくない部分にまでフォトレジスト3を露光させないようにするための膜である。本実施形態での反射防止膜4は有機系材料の膜を使用している。したがって、反射防止膜4の形成方法は、回転塗布法で行われる。
図3(b)は、エッチングマスク形成工程を示している。図3(b)は図1(a)と同様の工程であり、フォトレジスト3の形成方法等は第一の実施形態と同様である。
図3(c)では、第一ドライエッチング工程について説明する。従来は、フォトレジスト選択比の高い条件のみでドライエッチングを行っていたので、反射防止膜4を除去するために、別の条件で行わなければならなかった。
本実施形態では、第一ドライエッチング条件がフォトレジスト選択比が低い条件で行っているので、反射防止膜4をエッチングすることが可能となる。反射防止膜4は有機系の膜であり、フォトレジスト3と同様のエッチング条件で除去できるからである。反射防止膜4のエッチングが終了した後は、第一実施形態とほぼ同様にエッチングがなされる。
図3(d)は、第二ドライエッチング工程を示している。図3(d)では、図1(c)と同様の工程であり、形成方法等は第一の実施形態と同様であり、コンタクトホール5はテーパ形状に形成される。
以下のエッチングマスク除去工程以降は、第一の実施形態と同様の工程である。
第二の実施形態でも第一の実施形態と同様の効果(1)〜(6)が得られる。さらに以下の効果も得られる。
(7)第一ドライエッチング工程にて酸化シリコン膜2の上に形成されている反射防止膜4を酸化シリコン膜2のエッチング条件と同じ条件でエッチングすることができる。すなわち、反射防止膜4のエッチング工程と酸化シリコン膜2のエッチング工程を同一工程で行うことができる。これにより、ドライエッチングの工程を短縮化することができ、製造工程のスループットを上げることができる。
(a)〜(c)は本発明の第一の実施形態における半導体装置の製造工程をそれぞれ示す工程断面図。 (a)〜(d)は同じく半導体装置の製造工程をそれぞれ示す工程断面図。 (a)〜(d)は本発明の第二の実施形態における半導体装置の製造工程をそれぞれ示す工程断面図。 (a)、(b)は従来の半導体装置の製造工程を示す工程断面図。 先行文献の半導体装置の製造工程を示す工程断面図。
符号の説明
1…配線層、2…絶縁膜としての酸化シリコン膜、3…エッチングマスクとしてのフォトレジスト、3a…フォトレジストの開口部、4…反射防止膜、5…コンタクトホール、5a…コンタクトホールの開口部、6…金属薄膜、7…導電膜としてのタングステン膜。

Claims (7)

  1. 能動素子の電気的接続領域あるいは電気配線上に形成された絶縁膜を有する半導体基板の前記絶縁膜に、ドライエッチング法によって前記能動素子の電気的接続領域と電気配線あるいは電気配線同士の電気的接続を行うためのコンタクトプラグを形成するためのコンタクトホールを形成する半導体装置の製造方法であって、
    前記絶縁膜上に、フォトリソグラフィ法によりフォトレジストをパターン形成してエッチングマスクを形成するエッチングマスク形成工程と、
    前記エッチングマスクと前記絶縁膜とのエッチング選択比が小さい条件で、前記絶縁膜を前記コンタクトホールの途中まで異方性エッチングを行う第一ドライエッチング工程と、
    前記エッチングマスクと前記絶縁膜とのエッチング選択比が大きい条件で前記絶縁膜を前記能動素子の電気的接続領域あるいは前記電気配線上まで異方性エッチングを行う第二ドライエッチング工程と、を有する半導体装置の製造方法。
  2. 能動素子の電気的接続領域あるいは電気配線上に形成された絶縁膜を有する半導体基板の前記絶縁膜に、ドライエッチング法によって前記能動素子の電気的接続領域と電気配線あるいは電気配線同士の電気的接続を行うためのコンタクトプラグを形成するためのコンタクトホールを形成する半導体装置の製造方法であって、
    前記絶縁膜上に反射防止膜を形成する反射防止膜形成工程と、
    前記反射防止膜上に、フォトリソグラフィ法によりフォトレジストをパターン形成してエッチングマスクを形成するエッチングマスク形成工程と、
    前記エッチングマスクと前記絶縁膜とのエッチング選択比が小さい条件で、前記反射防止膜と前記絶縁膜を前記コンタクトホールの途中まで連続的に異方性エッチングを行う第一ドライエッチング工程と、
    前記エッチングマスクと前記絶縁膜とのエッチング選択比が大きい条件で前記絶縁膜を前記能動素子の電気的接続領域あるいは前記電気配線上まで異方性エッチングを行う第二ドライエッチング工程と、を有する半導体装置の製造方法。
  3. 請求項1又は2に記載の半導体装置の製造方法であって、
    前記第二ドライエッチング工程で前記絶縁膜に形成する前記コンタクトホールの開口径は、前記第一ドライエッチング工程で形成する前記コンタクトホールの開口径以下である半導体装置の製造方法。
  4. 請求項1又は2に記載の半導体装置の製造方法であって、
    前記第一ドライエッチング工程で形成する前記コンタクトホールの深さは、前記絶縁膜表面から前記能動素子の電気的接続領域あるいは前記電気配線までの距離の20%から80%の範囲内である半導体装置の製造方法。
  5. 請求項1乃至4のいずれか一項に記載の半導体装置の製造方法であって、
    前記第一ドライエッチング工程で使用するフロロカーボンガスのF/C比は、前記第二ドライエッチング工程で使用するフロロカーボンガスのF/C比よりも高いガスを用いる半導体装置の製造方法。
  6. 請求項1乃至5のいずれか一項に記載の半導体装置の製造方法であって、
    前記第二ドライエッチング工程後、前記絶縁膜上に形成されている前記エッチングマスクを除去するエッチングマスク除去工程と、
    前記半導体基板上及び前記コンタクトホールの側面と底面に金属薄膜を形成する金属薄膜形成工程と、
    前記半導体基板上の前記コンタクトホールを埋め込むように導電膜を形成する導電膜形成工程と、
    余分な前記導電膜を除去して平坦化する平坦化工程と、を有する半導体装置の製造方法。
  7. 請求項2乃至5のいずれか一項に記載の半導体装置の製造方法であって、
    前記第二ドライエッチング工程後、前記絶縁膜上に形成されている前記エッチングマスク及び前記反射防止膜を除去するエッチングマスク除去工程と、
    前記半導体基板上及び前記コンタクトホールの側面と底面に金属薄膜を形成する金属薄膜形成工程と、
    前記半導体基板上の前記コンタクトホールを埋め込むように導電膜を形成する導電膜形成工程と、
    余分な前記導電膜を除去して平坦化する平坦化工程と、を有する半導体装置の製造方法。
JP2004038477A 2004-02-16 2004-02-16 半導体装置の製造方法 Withdrawn JP2005229052A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004038477A JP2005229052A (ja) 2004-02-16 2004-02-16 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004038477A JP2005229052A (ja) 2004-02-16 2004-02-16 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2005229052A true JP2005229052A (ja) 2005-08-25

Family

ID=35003488

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004038477A Withdrawn JP2005229052A (ja) 2004-02-16 2004-02-16 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2005229052A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008016837A (ja) * 2006-06-30 2008-01-24 Hynix Semiconductor Inc 半導体素子のコンタクトプラグの製造方法
JP2010503207A (ja) * 2006-08-31 2010-01-28 マイクロン テクノロジー, インク. 高アスペクト比のフィーチャを形成するための選択的化学エッチングおよび関連構造
US7892969B2 (en) 2007-12-21 2011-02-22 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device
JP2018197713A (ja) * 2017-05-24 2018-12-13 三菱電機株式会社 赤外線センサおよび赤外線固体撮像装置
KR20180138528A (ko) * 2017-06-21 2018-12-31 도쿄엘렉트론가부시키가이샤 에칭 방법

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008016837A (ja) * 2006-06-30 2008-01-24 Hynix Semiconductor Inc 半導体素子のコンタクトプラグの製造方法
JP2010503207A (ja) * 2006-08-31 2010-01-28 マイクロン テクノロジー, インク. 高アスペクト比のフィーチャを形成するための選択的化学エッチングおよび関連構造
US7892969B2 (en) 2007-12-21 2011-02-22 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device
JP2018197713A (ja) * 2017-05-24 2018-12-13 三菱電機株式会社 赤外線センサおよび赤外線固体撮像装置
US10274374B2 (en) 2017-05-24 2019-04-30 Mitsubishi Electric Corporation Infrared sensor and infrared solid-state image pickup apparatus
KR20180138528A (ko) * 2017-06-21 2018-12-31 도쿄엘렉트론가부시키가이샤 에칭 방법
JP2019009189A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 エッチング方法
KR102557053B1 (ko) * 2017-06-21 2023-07-18 도쿄엘렉트론가부시키가이샤 에칭 방법

Similar Documents

Publication Publication Date Title
US9607883B2 (en) Trench formation using rounded hard mask
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
US6503829B2 (en) Metal via contact of a semiconductor device and method for fabricating the same
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US11876050B2 (en) Method for fabricating interconnection using graphene
US7071112B2 (en) BARC shaping for improved fabrication of dual damascene integrated circuit features
US20060240673A1 (en) Method of forming bit line in semiconductor device
JP2005229052A (ja) 半導体装置の製造方法
JP3403058B2 (ja) 配線形成方法
KR20050116600A (ko) 반도체 소자의 콘택 플러그 형성 방법
JPH10116904A (ja) 半導体装置の製造方法
US6815337B1 (en) Method to improve borderless metal line process window for sub-micron designs
TWI512894B (zh) 金屬內連線結構及其製程
JP2002231804A (ja) 半導体装置及びその製造方法
JP2010010449A (ja) 半導体装置の製造方法
KR100997780B1 (ko) 엠아이엠 캐패시터 형성방법
JP4379245B2 (ja) 半導体装置の製造方法
US7842608B2 (en) Method for manufacturing semiconductor device having via plug
US20080003822A1 (en) Method for fabricating semiconductor device
US8703617B2 (en) Method for planarizing interlayer dielectric layer
JP4768732B2 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
KR100895230B1 (ko) 반도체 장치 및 그 제조 방법, 건식 에칭 방법 및 건식 에칭 장치, 그리고 배선 재료의 제작 방법
JP2006339479A (ja) 多層配線の製造方法および多層配線
JP2008060446A (ja) 半導体装置の製造方法及び半導体装置
KR20010005109A (ko) 반도체 소자의 콘택 형성방법

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070501