JP2004512682A - トランジスタゲートのためのハードマスクの制御トリミング - Google Patents

トランジスタゲートのためのハードマスクの制御トリミング Download PDF

Info

Publication number
JP2004512682A
JP2004512682A JP2002537041A JP2002537041A JP2004512682A JP 2004512682 A JP2004512682 A JP 2004512682A JP 2002537041 A JP2002537041 A JP 2002537041A JP 2002537041 A JP2002537041 A JP 2002537041A JP 2004512682 A JP2004512682 A JP 2004512682A
Authority
JP
Japan
Prior art keywords
forming
hard mask
layer
oxide
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002537041A
Other languages
English (en)
Other versions
JP2004512682A5 (ja
JP4936633B2 (ja
Inventor
アミンプア,マスド
ウー,デイビッド
ルーニング,スコット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2004512682A publication Critical patent/JP2004512682A/ja
Publication of JP2004512682A5 publication Critical patent/JP2004512682A5/ja
Application granted granted Critical
Publication of JP4936633B2 publication Critical patent/JP4936633B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板層(505)上にゲート誘電体層(510)を形成するステップと、ゲート誘電体層(510)上にゲート導電層(515)を形成するステップと、第1のハードマスク層(540)を形成するステップとを含む方法を提供する。この方法はまた、第2のハードマスク層(550)上にトリミングされたフォトレジストマスク(570)を形成するステップと、トリミングされたフォトレジストマスク(570)を用いて第2のハードマスク層(550)の部分(655)を除去して、第2のハードマスク層(550)内にパターニングされたハードマスク(650)を形成するステップとを含み、パターニングされたハードマスク(650)は第1の寸法(δtrim)を有する。この方法はさらに、パターニングされたハードマスク(650)に隣接した第1のハードマスク層(540)の部分(745)を除去することにより、第1のハードマスク層(540)内に選択的にエッチングされたハードマスク(740)を形成するステップを含み、選択的にエッチングされたハードマスク(740)は、第1の寸法(δtrim)よりも小さな第2の寸法(Δ)を有し、この方法はさらに、選択的にエッチングされたハードマスク(740)を用いてゲート誘電体層(510)上のゲート導電層(515)の部分を除去して、構造(800)を形成するステップを含む。

Description

【0001】
【技術分野】
この発明は、一般に、半導体製造技術に関し、より特定的に、臨界寸法の縮小された半導体デバイスを製造するための技術に関する。
【0002】
【背景技術】
半導体産業には、マイクロプロセッサ、メモリデバイス等の集積回路装置の動作速度を上げる傾向が常にある。この傾向は、より一層高速で動作するコンピュータおよび電子機器に対する消費者の需要によってかき立てられている。より高速を求めるこの需要により、結果的に、トランジスタ等の半導体デバイスのサイズが絶えず縮小されてきた。すなわち、典型的な電界効果トランジスタ(FET)の多くの構成要素、たとえば、チャネル長、接合深さ、ゲート誘電体厚等が縮小される。たとえば、すべて他の条件が等しければ、FETのチャネル長が短くなるほどトランジスタの動作は速くなる。したがって、トランジスタに加え、このようなトランジスタを組込んだ集積回路装置の全体速度を上げるために、典型的なトランジスタの構成要素のサイズまたはスケールを常に縮小する傾向がある。加えて、典型的なトランジスタの構成要素のサイズまたはスケールを縮小することにより、所与の広さのウェハ実面積上に形成することのできるトランジスタの密度および数も上昇し、トランジスタ1つ当りの総コストだけでなく、このようなトランジスタを組込んだ集積回路装置のコストも下がる。
【0003】
しかしながら、典型的なトランジスタの構成要素のサイズまたはスケールを縮小することにより、ゲート導体およびゲート誘電体等の構成要素の形成およびパターニングを、このように縮小されたスケールで、整合性を有して、頑丈に、再現可能な態様で、好ましくはセルフアライメント式に行なう能力も求められる。このように縮小されたスケールで、整合性を有して、頑丈に、再現可能な態様で、ゲート導体およびゲート誘電体等の構成要素を形成してパターニングする能力は、中でも、フォトリソグラフィによって課される物理的限界によって制限される。ゲート導体およびゲート誘電体等の構成要素の臨界寸法に対し、回折効果が制限を課すが、これは、フォトリソグラフィを行なうために用いられる光の波長にほぼ対応する。深紫外線(DUV)フォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィにおけるように、より短い波長を用いるためにウェハ工場をリツールすることは、極めて費用がかかる。
【0004】
高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼った、臨界寸法の縮小を達成するための従来のアプローチの1つが、図1〜図4に概略的に示される。たとえば図1に示されるように、従来のMOSトランジスタ100は、ドープされたシリコン等の半導体基板105上に形成され得る。MOSトランジスタ100は、半導体基板105上に形成されたゲート酸化物115上に形成された、Nにドープされたポリ(Pにドープされたポリ)ゲート110等のドープされた多結晶シリコン(ドープされたポリシリコンまたはドープされポリ)ゲート導体を有し得る。Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115は、誘電体スペーサ125によってMOSトランジスタ100のNにドープされた(Pにドープされた)ソース/ドレイン領域120等の活性領域から分離され得る。誘電体スペーサ125は、Nにドープされた(Pにドープされた)ソース/ドレイン拡張(SDE)領域130上に形成され得る。図1に示されるように、MOSトランジスタ100を他のMOSトランジスタ(図示せず)等の隣接する半導体デバイスから電気的に絶縁するために、浅いトレンチアイソレーション(STI)領域140を設けることができる。
【0005】
にドープされた(Pにドープされた)SDE領域130は、典型的に、MOSトランジスタ100のNにドープされた(Pにドープされた)ソース/ドレイン領域120の付近で見られる最大チャネル電界の大きさを減じることによって、関連するホットキャリア効果を減じるために設けられる。MOSトランジスタ100のNにドープされた(Pにドープされた)ソース/ドレイン領域120に比べ、Nにドープされた(Pにドープされた)SDE領域130の、より少ない(またはより薄い)ドーピング(少なくとも2または3倍少ないか、または薄い)は、MOSトランジスタ100のNにドープされた(Pにドープされた)ソース/ドレイン領域120の付近で見られる最大チャネル電界の大きさを減じるが、Nにドープされた(Pにドープされた)SDE領域130のソース−ドレイン抵抗を増す。
【0006】
図1に示されるように、典型的に、Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115は、MOSトランジスタ100のチャネル長λを効果的に決定する臨界寸法δDUVを有する。チャネル長λは、Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115に隣接するNにドープされた(Pにドープされた)SDE領域130間の距離である。
【0007】
図2〜図4に示されるように、典型的に、Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115の臨界寸法δDUVは、以下のように決定される。図2に示されるように、半導体基板105上にゲート酸化物層215が形成され、ゲート酸化物層215上にゲート導電層210が形成される。ゲート導電層210上に反射防止被覆(ARC)層230が形成される。比較のために、フォトレジスト層220が、ARC層230上において従来の非DUVフォトリソグラフィを用いて形成されてパターニングされているかのように示される。フォトレジスト層220は、非深紫外線回折により制限された、約1800Åよりも大きいことが考えられる最小寸法δnon−DUVを有するようにパターニングされる。
【0008】
図3に示されるように、ARC層230上において、従来のDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィを用いてフォトレジストマスク320が形成され、パターニングされる。フォトレジスト層220は、比較のために点線で示される。フォトレジストマスク320は、典型的に、Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115のサイズを決定する、深紫外線回折により制限された、約1800Åであり得る最小の臨界寸法δDUVを有する。
【0009】
図4に示されるように、臨界寸法δDUVを有するフォトレジストマスク320をマスクとして用いて、ゲート導電層210(図2〜図3)からそれぞれの部分410(点線で図示)を除去し、後のエッチングおよび/または処理の後に最終的にゲート酸化物115となるゲート酸化物層215の一部およびNにドープされたポリ(Pにドープされたポリ)ゲート110を含むゲート構造400を形成する。ゲート構造400、したがって、Nにドープされたポリ(Pにドープされたポリ)ゲート110もまた、フォトレジストマスク320によって規定される臨界寸法δDUVを有する。しかしながら、フォトレジストマスク320および全エッチングによって規定される臨界寸法δDUVは依然として大きすぎる。より一層縮小されたスケールで、整合性を有して、頑丈に、再現可能な態様で、好ましくはセルフアライメント式に、ゲート導体等の構成要素を形成してパターニングするために、十分に制御可能であって、信頼することができ、実用可能な、より安価な技術を有することが望ましい。
【0010】
この発明は、上述の問題の1つ以上を克服するか、または少なくともその影響を減じることに向けられる。
【0011】
【発明の開示】
この発明の一局面において、1つの方法が提供される。この方法は、基板層上にゲート誘電体層を形成するステップと、ゲート誘電体層上にゲート導電層を形成するステップと、ゲート導電層上に第1のハードマスク層を形成するステップと、第1のハードマスク層上に第2のハードマスク層を形成するステップとを含む。この方法はまた、第2のハードマスク層上にトリミングされたフォトレジストマスクを形成するステップと、トリミングされたフォトレジストマスクを用いて第2のハードマスク層の部分を除去して、第2のハードマスク層内にパターニングされたハードマスクを形成するステップとを含み、パターニングされたハードマスクは第1の寸法を有する。この方法はさらに、パターニングされたハードマスクに隣接した第1のハードマスク層の部分を除去することにより、第1のハードマスク層内に選択的にエッチングされたハードマスクを形成するステップを含み、選択的にエッチングされたハードマスクは、第1の寸法よりも小さな第2の寸法を有し、この方法はさらに、選択的にエッチングされたハードマスクを用いてゲート誘電体層上のゲート導電層の部分を除去して、ゲート構造を形成するステップを含む。
【0012】
この発明の別の局面において、半導体デバイスが提供される。この半導体デバイスは、基板層上にゲート誘電体層を形成するステップと、ゲート誘電体層上にゲート導電層を形成するステップと、ゲート導電層上に第1のハードマスク層を形成するステップと、第1のハードマスク層上に第2のハードマスク層を形成するステップとを含む方法によって形成される。この方法はまた、第2のハードマスク層上にトリミングされたフォトレジストマスクを形成するステップと、トリミングされたフォトレジストマスクを用いて第2のハードマスク層の部分を除去して、第2のハードマスク層内にパターニングされたハードマスクを形成するステップとを含み、パターニングされたハードマスクは第1の寸法を有する。この方法はさらに、パターニングされたハードマスクに隣接した第1のハードマスク層の部分を除去することにより、第1のハードマスク層内に選択的にエッチングされたハードマスクを形成するステップを含み、選択的にエッチングされたハードマスクは、第1の寸法よりも小さな第2の寸法を有し、この方法はさらに、選択的にエッチングされたハードマスクを用いてゲート誘電体層上のゲート導電層の部分を除去して、ゲート構造を形成するステップを含む。
【0013】
この発明は、参照番号の最も左の有効数字がそれぞれの参照番号が現われる最初の図面を示す添付の図面とともに、以下の説明を参照することによって理解され得る。
【0014】
この発明はさまざまな変形および代替的形態が可能であるが、その特定の実施例が図面で例として示され、この明細書に詳細に説明される。しかしながら、この明細書での特定の実施例の説明が、この発明を、開示された特定の形態に限定することを意図するものではなく、反対に、前掲の請求項によって規定されるこの発明の精神および範囲内にある変形物、等価物、および代替物のすべてを包含することを意図するものであると理解されるべきである。
【0015】
【発明を実施するためのモード】
この発明の例示的実施例を以下に説明する。不明瞭にならないように、この明細書は、実際の実現化例のすべての特徴を記載しない。任意のこのような実際の実施例の開発において、開発者の特定の目標、たとえば、実現化例ごとに異なるシステム関連およびビジネス関連の制約との整合等を達成するために、実現化例に特有の判断を多数行なわれなければならないことが、当然ながら認識されるであろう。さらに、このような開発努力は複雑で時間を消費するものであるが、この開示の恩恵を受ける当業者にとっては慣例の作業であることが認識されるであろう。
【0016】
この発明に従った半導体デバイス製造のための方法の例示的実施例が図5〜図13に示される。半導体デバイスのさまざまな領域および構造は、極めて精密で、鮮明な構成およびプロファイルを有しているように図面に示されているが、当業者には、実際に、これらの領域および構造が図面で示されるほど精密ではないことが分かる。しかしながら、この発明の実施例を提供するために、添付の図面が含まれる。
【0017】
一般に、この発明は、半導体デバイスの製造に向けられる。本願を完全に読むと、当業者にとっては容易に明らかになるように、この方法はさまざまな技術、たとえば、NMOS、PMOS、CMOS等に適用することができ、また、論理デバイス、メモリデバイス等を含むがこれらに限定されないさまざまなデバイスに容易に適用することができる。
【0018】
図5〜図13は、この発明に従った、MOSトランジスタ1300(図13)を形成する方法を示す。図5に示されるように、ドープされたシリコン等の半導体基板505の上面550上に誘電体層510が形成され得る。誘電体層510は、このような層を形成するためのさまざまな公知の技術、たとえば、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、熱成長等によって形成されてよい。誘電体層510は、上面550上に、たとえば約20〜50Åの範囲の厚さを有してよく、さまざまな誘電体材料で形成することができ、たとえば、酸化物、オキシナイトライド、二酸化シリコン(SiO)、窒素含有酸化物(窒素含有SiO等)、窒素ドープされた酸化物(N注入SiO等)、シリコンオキシナイトライド(Si)等であってよい。
【0019】
誘電体層510はまた、任意の好適な、「高誘電率」または「高K」の、Kが約8以上である材料、たとえば、酸化チタン(TiO等のTi)、酸化タンタル(Ta等のTa)、バリウムストロンチウムチタン酸塩(BST、BaTiO/SrTiO)等で形成されてよい。誘電体層510は、たとえば約20〜50Åの範囲の等価酸化物厚さtox−eqを有し得る。等価酸化物厚さtox−eqは、厚さtox−eqの二酸化シリコン(SiO)が有するであろう単位面積当りのキャパシタンスCoxとほぼ同じ単位面積当りのキャパシタンスCを有する、(誘電率Kの)誘電体材料の厚さtであるよう規定され得る。SiOの誘電率Koxは約4であり、さらに、C=K/tおよびCox=Kox/tox−eqであるために、ほぼ、t=K/C=K/Cox=Ktox−eq/Kox=Ktox−eq/4である。誘電体層510は、たとえば誘電率KTaOが約24の酸化タンタル(Ta等のTa)で形成されてよい。このとき、ほぼ、t=KTaO/C=KTaO/Coxおよびt=KTaOox−eq/Kox=24tox−eq/4であることを用い、約20〜50Åの範囲の等価酸化物厚さtox−eqは、約120〜300Åの範囲のTaの厚さtTaOに対応する。
【0020】
図5に示されるように、誘電体層510上に導電層515が形成され得る。導電層515はさまざまな公知の技術、たとえば高密度イオン化金属プラズマ(IMP)堆積、高密度誘導結合プラズマ(ICP)堆積、スパッタリング、PVD、CVD、LPCVD、PECVD等によって形成されてよく、約500〜5000Åの範囲の厚さであってよい。導電層515は、さまざまな金属、たとえばアルミニウム(Al)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、タンタル(Ta)、ニッケル(Ni)、モリブデン(Mo)、コバルト(Co)等で形成されてよい。
【0021】
さまざまな別の例示的な実施例において、導電層515は、ドープされたポリ導電層515であってよい。ドープされたポリ導電層515は、このような層を形成するためのさまざまな公知の技術、たとえば、CVD、LPCVD、PECVD、PVD等によって形成されてよく、約500〜5000Åの範囲の厚さであってよい。例示的な一実施例において、ドープされたポリ導電層515の厚さは約2000Åであり、より高いスループットを目指し、LPCVDプロセスによって形成される。
【0022】
ドープされたポリ導電層515は、たとえばNMOSトランジスタ用にはヒ素(As)でドープされ、PMOSトランジスタ用にはホウ素(B)でドープされ、ポリに一層の導電性を与えることができる。ポリは、たとえば約1000〜2000Åの範囲の厚さとなるように、より高いスループットを目指し、LPCVDプロセスによってドープされずに形成され得る。ポリのドーピングは、ポリの上面を通じてドーパント原子および/または分子を拡散または注入することによって適宜達成されてよい。ドープされたポリ導電層515は、次に、約5〜60秒の範囲の時間だけ、約800〜1100℃の範囲の温度で行なわれる急速熱アニール(RTA)であってよい熱処理のプロセスにかけることができる。
【0023】
図5に示されるように、導電層515上に第1のハードマスク層540を形成することができ、第1のハードマスク層540上に第2のハードマスク層550を形成することができる。好ましくは、第1のハードマスク層540および第2のハードマスク層550は、以下により詳しく説明するように、第1のハードマスク層540および第2のハードマスク層550を異なった態様でパターニングするために選択的エッチングを用いることができるように、異なった材料で形成される。
【0024】
第1のハードマスク層540および第2のマスク層550は、さまざまな誘電体材料で形成されてよく、それらの一方または両方は、たとえば、酸化物(Ge酸化物等)、オキシナイトライド(GaPオキシナイトライド等)、二酸化シリコン(SiO)、窒素含有酸化物(窒素含有SiO等)、窒素ドープされた酸化物(N注入SiO等)、窒化シリコン(Si)、シリコンオキシナイトライド(Si)等であってよい。第1のハードマスク層540および第2のハードマスク層550はまた、任意の好適な、「高誘電率」または「高K」の、Kが約8以上である材料、たとえば、酸化チタン(TiO等のTi)、酸化タンタル(Ta等のTa)、バリウムストロンチウムチタン酸塩(BST、BaTiO/SrTiO)等で形成されてもよい。
【0025】
第1のハードマスク層540および第2のハードマスク層550は、このような層を形成するためのさまざまな公知の技術、たとえば、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、熱成長等によって形成され得る。第1のハードマスク層540および第2のハードマスク層550は、各々が約500〜5500Åの範囲の厚さであってよい。例示的な一実施例において、第1のハードマスク層540は、LPCVDプロセスによってブランケット堆積されることにより形成される、厚さが約1000Åの窒化シリコン(Si)から成り、第2のハードマスク層550は、同じくLPCVDプロセスによってブランケット堆積されることにより形成される、厚さが同じく約1000Åである二酸化シリコン(SiO)から成る。
【0026】
図5に示されるように、第2のハードマスク層550上に、非DUVリソグラフィを用いてパターニングされた、非DUVでパターニングされたフォトレジスト層560(点線で図示)が形成される。非DUVでパターニングされたフォトレジスト層560は、制御されたフォトレジストトリミングを用いてトリミングされ、トリミングされたフォトレジストマスク570を形成する。非DUVでパターニングされたフォトレジスト層560は、たとえば酸素プラズマ(Oプラズマ)を用いてトリミングされてよい。トリミングされたフォトレジストマスク570は、典型的に、Nにドープされたポリ(Pにドープされたポリ)ゲート110およびゲート酸化物115のサイズを決定する、約1100Åであり得る臨界寸法δtrimを有する。さまざまな別の例示的実施例において、DUVリソグラフィおよび/または高エネルギ電子ビームリソグラフィを用いてパターニングされた、より高価な、パターニングされたフォトレジスト層(図示せず)を、非DUVでパターニングされたフォトレジスト層560の代わりに用いて、後にトリミングすることができる。さまざまな他の例示的な代替的実施例において、DUVリソグラフィおよび/または高エネルギ電子ビームリソグラフィを用いてパターニングされた、より高価な、パターニングされたフォトレジストマスク(図示せず)を、トリミングされたフォトレジストマスク570の代わりに用いることもできる。
【0027】
図6に示されるように、次に、臨界寸法δtrimを有するトリミングされたフォトレジストマスク570をマスクとして用い、たとえば異方性エッチングプロセスを用い、トリミングされたフォトレジストマスク570によって保護されない第2のハードマスク層550の部分655(点線で図示)を除去することによって、パターニングされたハードマスク650を形成する。パターニングされたハードマスク650は、トリミングされたフォトレジストマスク570によって規定される臨界寸法δtrimを有する。
【0028】
図5〜図6に示されるように、パターニングされたハードマスク650は、さまざまな公知のエッチング技術、たとえば異方性エッチングプロセスを用いて形成されてよい。選択的異方性エッチング技術、たとえば、オクタフルオロシクロブタン(C)および/またはテトラフルオロメタン(四フッ化炭素、CF)、およびアルゴン(Ar)をエッチャントガスとして用いる反応性イオンエッチング(RIE)プロセスを用いてよい。代替的に、たとえば、エッチャントガスとしてトリフルオロメタン(フルオロフォーム、CHF)およびアルゴン(Ar)を用いる反応性イオンエッチング(RIE)プロセスを用いてよい。さまざまな例示的実施例において、プラズマエッチングを用いてもよい。
【0029】
図7に示されるように、臨界寸法δtrimを有するトリミングされたフォトレジストマスク570(図5〜図6)は、たとえばストリッピングされることによって除去されてよい。図7に示されるように、導電層515およびパターニングされたハードマスク650に対する選択的なエッチングプロセスを用いることにより、パターニングされたハードマスク650に隣接した第1のハードマスク層540の部分745(点線で図示)を除去することによって、選択的にエッチングされたハードマスク745を形成することができる。選択的にエッチングされたハードマスク740は、さまざまな公知の選択的等方性ウェットエッチング技術を用いること、たとえばリン酸(HPO)を用いることによって形成されてよい。たとえば、窒化シリコン(Si)の第1のハードマスク層540を選択的にエッチングするために熱水性リン酸(HPO)を用いると、二酸化シリコン(SiO)の第2のハードマスク層550のエッチング速度の少なくとも約10倍の速度で、Siはほぼ確実にエッチングによって除去される。
【0030】
図7に示されるように、選択的にエッチングされたハードマスク740は、パターニングされたハードマスク650の臨界寸法δtrimよりも小さい、約300〜900Åであり得る臨界寸法Δを有し得る。好ましくは、臨界寸法Δは、パターニングされたハードマスク650の臨界寸法δtrimよりも実質的に小さい。パターニングされたハードマスク650は、トリミングされたフォトレジストマスク570から臨界寸法δtrimを受け継ぐ。この発明の任意のさまざまな例示的実施例により、選択的にエッチングされたハードマスク740の選択的エッチングは、トリミングされたフォトレジストマスク570のさらなるトリミングよりも制御可能であり、信頼することができ、実用可能である。したがって、この発明の任意のさまざまな例示的実施例により、選択的にエッチングされたハードマスク740の選択的エッチングは、サブ100ナノメートルのスケール等のより縮小されたスケールで、整合性を有して、頑丈に、再現可能な態様で、セルフアライメント式に、ゲート導体およびゲート誘電体等の構成要素を形成してパターニングするために用いるのに、十分に制御可能であり、信頼することができ、実用可能である。
【0031】
図8に示されるように、たとえばエッチャントガスとしてトリフルオロメタン(フルオロフォーム、CHF)およびアルゴン(Ar)を用いる反応性イオンエッチング(RIE)プロセス等の等方性および/または異方性エッチング技術によって、パターニングされたハードマスク650(図6〜図7)を除去することができる。代替的に、たとえばウェットエッチング技術を用いてもよい。さまざまな例示的実施例において、プラズマエッチングを用いることもできる。
【0032】
図8に示されるように、選択的にエッチングされたハードマスク740によって保護されていない導電層515(図5〜図7)のそれぞの部分820(点線で図示)は、たとえばエッチングされることによって除去されて、構造800を形成することができる。構造800は縁825を有し得る。構造800は、後のエッチングおよび/または処理の後に最終的にゲート誘電体910(図9)となるゲート誘電体層510の一部およびゲート導体815を含む。図8に示されるように、構造800は、たとえばエッチャントガスとして臭化水素(HBr)および/または塩素(Cl)およびアルゴン(Ar)を用いる異方性エッチングプロセス等の、さまざまな公知のフォトリソグラフィおよびエッチングの技術を用いて形成されてよい。
【0033】
図9に示されるように、選択的にエッチングされたハードマスク740(図7〜図8)は、等方性および/または異方性エッチング技術によって除去され得る。図9に示されるように、半導体基板500の上面550上に、かつ、構造800の上におよびそれに隣接して、たとえばフォトレジストで形成されたマスキング層900が形成され得る。マスキング層900は、上面550上に、たとえば約500〜5000Åの範囲の厚さτを有してよい。さまざまな例示的実施例において、上面550上の厚さτは約5000Åである。さまざまな別の例示的実施例において、上面550上の厚さτは、約500〜1000Åの範囲にある。
【0034】
図10に示されるように、マスキング層900をパターニングして、浅いトレンチアイソレーション(STI)140の少なくとも一部上にマスク1075を形成することができる。さまざまな公知のフォトリソグラフィおよび/またはエッチング技術を用いてマスキング層900をパターニングし、マスク1075を形成することができる。マスク1075は、たとえば約1000〜5500Åの範囲の距離ωだけ構造800の縁825から離された縁1020を有し得る。
【0035】
NMOS(PMOS)トランジスタ領域が、たとえばNにドープされた(Pにドープされた)領域1030を形成するために注入されている間に、PMOS(NMOS)トランジスタ領域を保護するために、従来のCMOS製造方法におけるように、マスク1075がSTI領域140上に形成され得る。図10に示されるように、ドーパント注入1000(矢印で図示)が行なわれて、Nにドープされた(Pにドープされた)領域1030を形成することができる。活性化の後に、Nにドープされた(Pにドープされた)領域1030は、Nにドープされた(Pにドープされた)SDE領域1130となる(図11)。
【0036】
さまざまな例示的実施例において、Nにドープされた(Pにドープされた)領域1030は、(図13のNMOSトランジスタ1300に適切なNドーピング用の)Asまたは(図13のPMOSトランジスタ1300に適切なPドーピング用の)BFのSDEドーズ量で注入されることによって形成され得る。SDEドーズ量は、約3〜50keVの範囲の注入エネルギで、約1.0×1014〜1.0×1015イオン/cmの範囲にあってよい。Nにドープされた(Pにドープされた)領域1030は、約5〜60秒の範囲の時間だけ、約800〜1100℃の範囲の温度で行なわれるRTAプロセスにかけることができる。このRTAプロセスは、注入を活性化することができ、可動性のより高い、(NMOSトランジスタ1300に適切なNドーピング用の)Pまたは(PMOSトランジスタ1300に適切なPドーピング用の)BのSDEドーズ量で注入した後にRTAプロセスを行なった場合よりも、より鮮明に規定されかつそれほど平坦化されずに活性化された(less graded activated)、基板505との注入接合を形成することができる。
【0037】
図11に示されるように、Nにドープされた(Pにドープされた)領域1030が活性化されてNにドープされた(Pにドープされた)SDE領域1130となる前または後のいずれかに、構造800に隣接して誘電体スペーサ1125が形成され得る。図11に示されるように、誘電体スペーサ1125は、Nにドープされた(Pにドープされた)SDE領域1130上に、および構造800に隣接して、さまざまな技術によって形成されてよい。たとえば、誘電体スペーサ1125は、構造800の上およびそれに隣接して、適切な材料のコンフォーマル層(図示せず)を堆積してから、コンフォーマルにブランケット堆積された層上に異方性RIEプロセスを行なうことによって形成されてよい。誘電体スペーサ1125の各々は、構造800の縁825から測定されると、たとえば約300〜1500Åの範囲のベース厚を有し得る。
【0038】
誘電体スペーサ1125は、ゲート誘電体515と同様に、さまざまな誘電体材料で形成されてよく、たとえば、酸化物、窒化物、オキシナイトライド、二酸化シリコン(SiO)、窒素含有SiO、窒化シリコン(Si)、シリコンオキシナイトライド(Si)等であってよい。誘電体スペーサ1125はまた、任意の好適な、「低誘電率」または「低K」の、Kが約4以下である材料で形成されてよい。加えて、誘電体スペーサ1125は、フッ素にドープされた酸化物、フッ素にドープされた窒化物、フッ素にドープされたオキシナイトライド、フッ素にドープされた低K材料等を含んでよい。例示的な一実施例において、誘電体スペーサ1125は、ベース厚が約300ÅのSiOを含む。
【0039】
図12に示されるように、ドーパント1200(矢印で図示)が注入されて、ドーパント原子および/または分子を導入してNにドープされた(Pにドープされた)領域1220を形成することができる。活性化の後に、Nにドープされた(Pにドープされた)領域1220は、Nにドープされた(Pにドープされた)ソース/ドレイン領域1320となる(図13)。例示的な一実施例において、ドーパント1200の原子および/または分子のドーズ量は、適切なドーパント1200の原子および/または分子、たとえば、例示的NMOSトランジスタ用のPまたは例示的PMOSトランジスタ用のBの、約1.0×1015〜5.0×1015イオン/cmの範囲にあり得る。ドーパント1200の原子および/または分子の注入エネルギは、約30〜100keVの範囲であってよい。別の例示的実施例において、ドーパント1200原子のドーズ量は、約30keVの注入エネルギにおいて、NMOSトランジスタ用にP、PMOSトランジスタ用にBの、約1.0×1015イオン/cmである。
【0040】
ドーパント1200は、P、As、アンチモン(Sb)、ビスマス(Bi)等のN注入であってよく、濃くドープされたNソース/ドレイン領域1320を形成することができる。N注入は、たとえばNMOSトランジスタ1300の製造に適切である。代替的に、ドーパント1200は、B、フッ化ホウ素(BF、BF)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)、タリウム(Tl)等のP注入であってよく、濃くドープされたPソース/ドレイン領域1320を形成することができる。P注入は、たとえばPMOSトランジスタ1300の製造に適切である。
【0041】
図13に示されるように、Nにドープされた(Pにドープされた)領域1220を、約5〜60秒の範囲の時間だけ、約800〜1100℃の範囲の温度で行なわれるRTAプロセスにかけて、Nにドープされた(Pにドープされた)ソース/ドレイン領域1320を形成することができる。このRTAプロセスは、より可動性の高い、(NMOSトランジスタ1300に適切なNドーピング用の)Pまたは(PMOSトランジスタ1300に適切なPドーピング用の)Bの注入を活性化することができ、より可動性の低い、(NMOSトランジスタ1300に適切なNドーピング用の)Asまたは(PMOSトランジスタ1300に適切なPドーピング用の)BFのソース/ドレインドーズ量で注入した後にRTAプロセスを行なった場合よりも、より鮮明でなく規定されかつより平坦化されて活性化された、構造505との注入接合を形成することができる。
【0042】
代替的に、Nにドープされた(Pにドープされた)ソース/ドレイン領域1320を形成するための、Nにドープされた(Pにドープされた)領域1220を拡散して活性化するためのRTAプロセスが、サリサイド化の前、最中、または後のいずれかに、セルフアライメント式のシリサイド化(サリサイド化)プロセス(図示せず)とともに行われてよい。このようなサリサイド化が組合されたRTAプロセスは、約10〜60秒の範囲の時間だけ、約800〜1000℃の範囲の温度で行なわれてよい。
【0043】
臨界寸法の縮小された半導体デバイスを製造する方法の、上に開示されたどの実施例によっても、より縮小されたスケールで、整合性を有して、頑丈に、再現可能な態様で、セルフアライメント式に、ゲート導体およびゲート誘電体等の構成要素を形成してパターニングすることができる。臨界寸法の縮小された半導体デバイスを製造する方法の、上に開示されたどの実施例によっても、高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼ることなく臨界寸法の縮小を達成することができる。この発明のさまざまな任意の例示的な実施例に従った、選択的にエッチングれたハードマスク740(図7)の選択的エッチングにより、MOSトランジスタ1300の構造800は、約500〜1000Åであり得るMOSトランジスタ1300のチャネル長Λを効果的に決定する、約600〜1100Åであり得る臨界寸法Δを有することができる。この発明のさまざまな任意の例示的実施例に従った、選択的にエッチングされたハードマスク740(図7)の選択的エッチングは、トリミングされたフォトレジストマスク570(図5)のさらなるトリミングよりも、より制御可能であり、信頼することができ、実用可能である。したがって、この発明のさまざまな任意の例示的な実施例に従った、選択的にエッチングされたハードマスク740の選択的エッチングは、より縮小されたスケールで、たとえばサブ100ナノメートルのスケールで、整合性を有して、頑丈に、再現可能な態様で、セルフアライメント式に、ゲート導体およびゲート誘電体等の構成要素を形成してパターニングするために用いるのに、十分に制御可能であり、信頼することができ、実用可能である。
【0044】
上に開示された特定の実施例は例示にすぎない。なぜなら、この発明が、この明細書の教示の恩恵を受ける当業者にとっては明らかな、異なるが等価の態様で、変更されかつ実施され得るためである。さらに、前掲の請求項に記載される以外には、この明細書に示された構成または設計の詳細に対し、何ら限定は意図されない。したがって、上に開示された特定の実施例を変更または変形することができ、さらに、これらのすべての変形物が、この発明の範囲および精神の内にあると考えられることは明らかである。特に、この明細書に開示された(「約aから約b」、または等価に「約aからb」、または等価に「約a−b」の形態のうちの)値の各範囲は、ゲオルグ・カントール(Georg Cantor)の意味において、値のそれぞれの範囲の冪集合(すべてのサブセットの組)を指すものとして理解されるべきである。したがって、この明細書において求められる保護は、上述の請求項に述べられるとおりである。
【図面の簡単な説明】
【図1】高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼った、臨界寸法の縮小を達成するための従来のアプローチを概略的に示す図である。
【図2】高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼った、臨界寸法の縮小を達成するための従来のアプローチを概略的に示す図である。
【図3】高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼った、臨界寸法の縮小を達成するための従来のアプローチを概略的に示す図である。
【図4】高価なDUVフォトリソグラフィおよび/または高エネルギ電子ビームリソグラフィに頼った、臨界寸法の縮小を達成するための従来のアプローチを概略的に示す図である。
【図5】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図6】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図7】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図8】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図9】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図10】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図11】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図12】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。
【図13】この発明のさまざまな実施例に従った、半導体デバイス製造のための方法を概略的に示す図である。

Claims (10)

  1. 基板層(505)上にゲート誘電体層(510)を形成するステップと、
    前記ゲート誘電体層(510)上にゲート導電層(515)を形成するステップと、
    前記ゲート導電層(515)上に第1のハードマスク層(540)を形成するステップと、
    前記第1のハードマスク層(540)上に第2のハードマスク層(550)を形成するステップと、
    前記第2のハードマスク層(550)上にトリミングされたフォトレジストマスク(570)を形成するステップと、
    前記トリミングされたフォトレジストマスク(570)を用いて前記第2のハードマスク層(550)の部分(655)を除去して、前記第2のハードマスク層(550)内にパターニングされたハードマスク(650)を形成するステップとを含み、前記パターニングされたハードマスク(650)は第1の寸法(δtrim)を有し、前記方法はさらに、
    前記パターニングされたハードマスク(650)に隣接した前記第1のハードマスク層(540)の部分(745)を除去することにより、前記第1のハードマスク層(540)内に選択的にエッチングされたハードマスク(740)を形成するステップを含み、前記選択的にエッチングされたハードマスク(740)は、前記第1の寸法(δtrim)よりも小さな第2の寸法(Δ)を有し、前記方法はさらに、
    前記選択的にエッチングされたハードマスク(740)を用いて前記ゲート誘電体層(510)上の前記ゲート導電層(515)の部分を除去して、構造(800)を形成するステップを含む、方法。
  2. 前記ゲート誘電体層(510)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの1つで前記ゲート誘電体層(510)を形成するステップを含み、前記ゲート誘電体層(510)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記ゲート誘電体層(510)を形成するステップを含む、請求項1に記載の方法。
  3. 前記ゲート誘電体層(510)を形成するステップは、約20〜50Åの範囲の等価酸化物厚さtox−eqを有するように、前記ゲート誘電体層(510)を形成するステップを含む、請求項1に記載の方法。
  4. 前記ゲート導電層(515)を形成するステップは、ドープされたポリ、アルミニウム(Al)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、タンタル(Ta)、ニッケル(Ni)、モリブデン(Mo)、およびコバルト(Co)のうちの1つで前記ゲート導電層(515)を形成するステップを含み、前記ゲート導電層(515)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、高密度イオン化金属プラズマ(IMP)堆積、および高密度誘導結合プラズマ(ICP)堆積のうちの1つを用いて前記ゲート導電層(515)を形成するステップを含む、請求項1に記載の方法。
  5. 前記ゲート導電層(515)を形成するステップは、約500〜5000Åの範囲の厚さを有するように、前記ゲート導電層(515)を形成するステップを含む、請求項1に記載の方法。
  6. 前記第1および第2のハードマスク層(540,550)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの異なる2つのもので前記第1および第2のハードマスク層(540,550)を形成するステップと、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記第1および第2のハードマスク層(540,550)を形成するステップとを含む、請求項1に記載の方法。
  7. 前記第1のハードマスク層(540)を形成するステップは、窒化シリコンで前記第1のハードマスク層(540)を形成するステップを含み、前記第2のハードマスク層(550)を形成するステップは、二酸化シリコンで前記第2のハードマスク層(550)を形成するステップを含む、請求項8に記載の方法。
  8. 前記選択的にエッチングされたハードマスク(740)を形成するステップは、最大で約1000Åの臨界寸法Δを有するように、前記選択的にエッチングされたハードマスク(740)を形成するステップを含む、請求項1に記載の方法。
  9. 基板層(505)上にゲート誘電体層(510)を形成するステップと、
    前記ゲート誘電体層(510)上にゲート導電層(515)を形成するステップと、
    前記ゲート導電層(515)上に第1のハードマスク層(540)を形成するステップと、
    前記第1のハードマスク層(540)上に第2のハードマスク層(550)を形成するステップと、
    前記第2のハードマスク層(550)上にフォトレジストマスク(560)を形成してパターニングするステップと、
    前記フォトレジストマスク(560)をトリミングすることによってトリミングされたフォトレジストマスク(570)を形成するステップと、
    前記トリミングされたフォトレジストマスク(570)を用いて前記第2のハードマスク層(550)の部分(655)を除去して、前記第2のハードマスク層(550)内にパターニングされたハードマスク(650)を形成するステップとを含み、前記パターニングされたハードマスク(650)は第1の寸法(δtrim)を有し、さらに、
    前記トリミングされたフォトレジストマスク(570)を除去するステップと、
    前記パターニングされたハードマスク(650)に隣接した前記第1のハードマスク層(540)の部分(745)を除去することによって、前記第1のハードマスク層(540)内に選択的にエッチングされたハードマスク(740)を形成するステップとを含み、前記選択的にエッチングされたハードマスク(740)は、前記第1の寸法(δtrim)よりも小さな第2の寸法(Δ)を有し、さらに、
    前記選択的にエッチングされたハードマスク(740)を用いて前記ゲート誘電体層(510)上の前記ゲート導電層(515)の部分を除去して、構造(800)を形成するステップとを含み、前記ゲート誘電体層(510)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの1つで前記ゲート誘電体層(510)を形成するステップを含み、前記ゲート誘電体層(510)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記ゲート誘電体層(510)を形成するステップをさらに含み、前記ゲート誘電体層(510)を形成するステップは、約20〜50Åの範囲の等価酸化物厚さtox−eqを有するように前記ゲート誘電体層(510)を形成するステップをさらに含み、前記ゲート導電層(515)を形成するステップは、ドープされたポリ、アルミニウム(Al)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、タンタル(Ta)、ニッケル(Ni)、モリブデン(Mo)、およびコバルト(Co)のうちの1つで前記ゲート導電層(515)を形成するステップを含み、前記ゲート導電層(515)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、高密度イオン化金属プラズマ(IMP)堆積、および高密度誘導結合プラズマ(ICP)堆積のうちの1つを用いて前記ゲート導電層(515)を形成するステップをさらに含み、前記ゲート導電層(515)を形成するステップは、約500〜5000Åの範囲の厚さを有するように、前記ゲート導電層(515)を形成するステップをさらに含み、前記第1および第2のハードマスク層(540,550)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの異なる2つのもので前記第1および第2のハードマスク層(540,550)を形成するステップと、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記第1および第2のハードマスク層(540,550)を形成するステップとを含み、前記選択的にエッチングされたハードマスク(740)を形成するステップは、最大で約1000Åの臨界寸法を有するように、前記選択的にエッチングされたハードマスク(740)を形成するステップを含む、方法。
  10. 方法によって形成される半導体デバイスであって、前記方法は、
    基板層(505)上にゲート誘電体層(510)を形成するステップと、
    前記ゲート誘電体層(510)上にゲート導電層(515)を形成するステップと、
    前記ゲート導電層(515)上に第1のハードマスク層(540)を形成するステップと、
    前記第1のハードマスク層(540)上に第2のハードマスク層(550)を形成するステップと、
    前記第2のハードマスク層(550)上にトリミングされたフォトレジストマスク(570)を形成するステップと、
    前記トリミングされたフォトレジストマスク(570)を用いて前記第2のハードマスク層(550)の部分(655)を除去して、前記第2のハードマスク層(550)内にパターニングされたハードマスク(650)を形成するステップとを含み、前記パターニングされたハードマスク(650)は第1の寸法(δtrim)を有し、前記方法はさらに、
    前記パターニングされたハードマスク(650)に隣接した前記第1のハードマスク層(540)の部分(745)を除去することにより、前記第1のハードマスク層(540)内に選択的にエッチングされたハードマスク(740)を形成するステップを含み、前記選択的にエッチングされたハードマスク(740)は、前記第1の寸法(δtrim)よりも小さな第2の寸法(Δ)を有し、前記方法はさらに、
    前記選択的にエッチングされたハードマスク(740)を用いて前記ゲート誘電体層(510)上の前記ゲート導電層(515)の部分を除去して、構造(800)を形成するステップを含み、前記ゲート誘電体層(510)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの1つで前記ゲート誘電体層(510)を形成するステップを含み、前記ゲート誘電体層(510)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記ゲート誘電体層(510)を形成するステップをさらに含み、前記ゲート誘電体層(510)を形成するステップは、約20〜50Åの範囲の等価酸化物厚さtox−eqを有するように、前記ゲート誘電体層(510)を形成するステップをさらに含み、前記ゲート導電層(515)を形成するステップは、ドープされたポリ、アルミニウム(Al)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、タンタル(Ta)、ニッケル(Ni)、モリブデン(Mo)、およびコバルト(Co)のうちの1つで前記ゲート導電層(515)を形成するステップを含み、前記ゲート導電層(515)を形成するステップは、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、高密度イオン化金属プラズマ(IMP)堆積、および高密度誘導結合プラズマ(ICP)堆積のうちの1つを用いて前記ゲート導電層(515)を形成するステップをさらに含み、前記ゲート導電層(515)を形成するステップは、約500〜5000Åの範囲の厚さを有するように、前記ゲート導電層(515)を形成するステップをさらに含み、前記第1および第2のハードマスク層(540,550)を形成するステップは、酸化物、オキシナイトライド、二酸化シリコン、窒化シリコン、窒素含有酸化物、窒素ドープされた酸化物、シリコンオキシナイトライド、高誘電率(高K)の、Kが少なくとも約8である、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの異なる2つのもので前記第1および第2のハードマスク層(540,550)を形成するステップと、化学気相成長法(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長法(PVD)、および熱成長のうちの1つを用いて前記第1および第2のハードマスク層(540,550)を形成するステップとを含み、前記選択的にエッチングされたハードマスク(740)を形成するステップは、最大で約1000Åの臨界寸法を有するように、前記選択的にエッチングされたハードマスク(740)を形成するステップを含む、半導体デバイス。
JP2002537041A 2000-10-17 2001-07-26 トランジスタゲートのためのハードマスクの制御トリミング Expired - Lifetime JP4936633B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/690,152 US6482726B1 (en) 2000-10-17 2000-10-17 Control trimming of hard mask for sub-100 nanometer transistor gate
US09/690,152 2000-10-17
PCT/US2001/023577 WO2002033739A1 (en) 2000-10-17 2001-07-26 Control trimming of hard mask for transistor gate

Publications (3)

Publication Number Publication Date
JP2004512682A true JP2004512682A (ja) 2004-04-22
JP2004512682A5 JP2004512682A5 (ja) 2008-08-28
JP4936633B2 JP4936633B2 (ja) 2012-05-23

Family

ID=24771302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002537041A Expired - Lifetime JP4936633B2 (ja) 2000-10-17 2001-07-26 トランジスタゲートのためのハードマスクの制御トリミング

Country Status (8)

Country Link
US (1) US6482726B1 (ja)
EP (1) EP1330838B1 (ja)
JP (1) JP4936633B2 (ja)
AU (1) AU2001279031A1 (ja)
DE (1) DE60143584D1 (ja)
GB (1) GB2387028B (ja)
TW (1) TWI287832B (ja)
WO (1) WO2002033739A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004503927A (ja) * 2000-06-16 2004-02-05 コミツサリア タ レネルジー アトミーク 微細パターンとワイドパターンとが混在する集積回路ステージを形成するための方法
JP2008060541A (ja) * 2006-08-29 2008-03-13 Korea Electronics Telecommun Gstカルコゲニドパターンを備える相変化メモリ素子の製造方法
JP2008511166A (ja) * 2004-08-26 2008-04-10 東京エレクトロン株式会社 膜スタックをエッチングするための方法およびシステム
US7781340B2 (en) 2002-09-27 2010-08-24 Tokyo Electron Limited Method and system for etching high-k dielectric materials

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US6849530B2 (en) * 2002-07-31 2005-02-01 Advanced Micro Devices Method for semiconductor gate line dimension reduction
US7268066B2 (en) 2002-07-31 2007-09-11 Advanced Micro Devices, Inc. Method for semiconductor gate line dimension reduction
US6617085B1 (en) * 2002-08-16 2003-09-09 International Business Machines Corporation Wet etch reduction of gate widths
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6737325B1 (en) * 2003-03-06 2004-05-18 Texas Instruments Incorporated Method and system for forming a transistor having source and drain extensions
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
KR100540475B1 (ko) * 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US7186649B2 (en) * 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
US7354847B2 (en) * 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
DE102004054558A1 (de) * 2004-11-11 2006-05-24 Infineon Technologies Ag Verfahren zur Herstellung einer resistiv schaltenden Speicherzelle, hergestellte Speicherzelle sowie daraus aufgebautes Speicherbauelement
JP2007081383A (ja) * 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
KR100678638B1 (ko) * 2005-11-08 2007-02-05 삼성전자주식회사 반도체 소자의 제조 방법
TWI328221B (en) 2006-12-05 2010-08-01 Lite On It Corp Method of dynamically detecting write quality of recordable optical disc
US7897501B2 (en) * 2007-04-25 2011-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a field-effect transistor having robust sidewall spacers
US8048764B2 (en) * 2009-09-30 2011-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual etch method of defining active area in semiconductor device
CN102110624B (zh) * 2009-12-23 2012-05-30 中芯国际集成电路制造(上海)有限公司 检测镍铂去除装置的方法
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP2014063776A (ja) * 2012-09-19 2014-04-10 Toshiba Corp 電界効果トランジスタ
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10204960B2 (en) 2015-09-17 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming polysilicon gate structure in image sensor device
US10199223B2 (en) 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10840333B2 (en) * 2018-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11342188B2 (en) * 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping high-k metal gates for tuning threshold voltages
AU2022354956A1 (en) * 2021-10-01 2024-04-18 PsiQuantum Corp. Patterning methods for photonic devices

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136402A (ja) * 1991-11-15 1993-06-01 Hitachi Ltd 半導体装置の製造方法
JPH0774158A (ja) * 1993-08-31 1995-03-17 Sony Corp 薄膜の加工方法
JPH07169964A (ja) * 1993-10-13 1995-07-04 At & T Corp 集積回路の形成方法
JPH07201892A (ja) * 1993-12-16 1995-08-04 Lg Semicon Co Ltd 低濃度ドーピングドレインを有するmos型電界効果トランジスタの製造方法
JPH09186166A (ja) * 1996-01-08 1997-07-15 Toshiba Corp 半導体装置の製造方法
JPH09251988A (ja) * 1995-07-14 1997-09-22 Texas Instr Inc <Ti> 中間層の一部を除去する中間層リソグラフィ法
JPH11297951A (ja) * 1998-02-13 1999-10-29 Hitachi Ltd 半導体集積回路装置およびその製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989967A (en) 1998-04-30 1999-11-23 Advanced Micro Devices, Inc. Transistor with ultra short length defined partially by sidewall oxidation of a gate conductor overlying the channel length
US6013570A (en) * 1998-07-17 2000-01-11 Advanced Micro Devices, Inc. LDD transistor using novel gate trim technique
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6283131B1 (en) * 2000-09-25 2001-09-04 Taiwan Semiconductor Manufacturing Company In-situ strip process for polysilicon etching in deep sub-micron technology

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136402A (ja) * 1991-11-15 1993-06-01 Hitachi Ltd 半導体装置の製造方法
JPH0774158A (ja) * 1993-08-31 1995-03-17 Sony Corp 薄膜の加工方法
JPH07169964A (ja) * 1993-10-13 1995-07-04 At & T Corp 集積回路の形成方法
JPH07201892A (ja) * 1993-12-16 1995-08-04 Lg Semicon Co Ltd 低濃度ドーピングドレインを有するmos型電界効果トランジスタの製造方法
JPH09251988A (ja) * 1995-07-14 1997-09-22 Texas Instr Inc <Ti> 中間層の一部を除去する中間層リソグラフィ法
JPH09186166A (ja) * 1996-01-08 1997-07-15 Toshiba Corp 半導体装置の製造方法
JPH11297951A (ja) * 1998-02-13 1999-10-29 Hitachi Ltd 半導体集積回路装置およびその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004503927A (ja) * 2000-06-16 2004-02-05 コミツサリア タ レネルジー アトミーク 微細パターンとワイドパターンとが混在する集積回路ステージを形成するための方法
JP4680477B2 (ja) * 2000-06-16 2011-05-11 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 微細パターンとワイドパターンとが混在する集積回路ステージを形成するための方法
US7781340B2 (en) 2002-09-27 2010-08-24 Tokyo Electron Limited Method and system for etching high-k dielectric materials
JP2008511166A (ja) * 2004-08-26 2008-04-10 東京エレクトロン株式会社 膜スタックをエッチングするための方法およびシステム
JP4861987B2 (ja) * 2004-08-26 2012-01-25 東京エレクトロン株式会社 膜スタックをエッチングするための方法およびシステム
JP2008060541A (ja) * 2006-08-29 2008-03-13 Korea Electronics Telecommun Gstカルコゲニドパターンを備える相変化メモリ素子の製造方法

Also Published As

Publication number Publication date
AU2001279031A1 (en) 2002-04-29
TWI287832B (en) 2007-10-01
JP4936633B2 (ja) 2012-05-23
US6482726B1 (en) 2002-11-19
EP1330838A1 (en) 2003-07-30
EP1330838B1 (en) 2010-12-01
WO2002033739A1 (en) 2002-04-25
GB2387028B (en) 2004-11-10
GB0311301D0 (en) 2003-06-25
GB2387028A (en) 2003-10-01
DE60143584D1 (de) 2011-01-13

Similar Documents

Publication Publication Date Title
JP4936633B2 (ja) トランジスタゲートのためのハードマスクの制御トリミング
JP3851752B2 (ja) 半導体装置の製造方法
JP3529732B2 (ja) Mosfetデバイスを形成する方法
US8765586B2 (en) Methods of forming metal silicide regions on semiconductor devices
US6180501B1 (en) Method to fabricate a double-polysilicon gate structure for a sub-quarter micron self-aligned-titanium silicide process
KR100698501B1 (ko) 산화 가스에 의한 급속 열 어닐링을 이용하는 바닥부 반사방지 코팅
JP2002543623A (ja) ダマシンコンタクトおよびゲートプロセスで作製された自己整列ソースおよびドレイン延在部
US6046103A (en) Borderless contact process for a salicide devices
US20100081246A1 (en) Method of manufacturing a semiconductor
US6509219B2 (en) Fabrication of notched gates by passivating partially etched gate sidewalls and then using an isotropic etch
US6528363B2 (en) Fabrication of notched gates by passivating partially etched gate sidewalls and then using an isotropic etch
US7244642B2 (en) Method to obtain fully silicided gate electrodes
US20020132400A1 (en) Novel design and process for a dual gate structure
KR100596772B1 (ko) 다마신 공정을 이용한 텅스텐 게이트 모스팻 소자의제조방법
US6727558B1 (en) Channel isolation using dielectric isolation structures
KR100549006B1 (ko) 완전한 실리사이드 게이트를 갖는 모스 트랜지스터 제조방법
US20050136580A1 (en) Hydrogen free formation of gate electrodes
US20020192932A1 (en) Salicide integration process
US6063692A (en) Oxidation barrier composed of a silicide alloy for a thin film and method of construction
US7470605B2 (en) Method for fabrication of a MOS transistor
US20080233747A1 (en) Semiconductor Device Manufactured Using an Improved Plasma Etch Process for a Fully Silicided Gate Flow Process
WO2004012256A1 (en) Process for manufacturing mosfets using amorphous carbon replacement gate and structures formed in accordance therewith
US20050164460A1 (en) Salicide process for metal gate CMOS devices
KR100573270B1 (ko) 게이트 전극의 실리사이드 형성방법
KR20080001529A (ko) 듀얼폴리 게이트를 갖는 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080710

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080710

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120214

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4936633

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term