TWI287832B - Method for manufacturing a semiconductor device - Google Patents

Method for manufacturing a semiconductor device Download PDF

Info

Publication number
TWI287832B
TWI287832B TW090124482A TW90124482A TWI287832B TW I287832 B TWI287832 B TW I287832B TW 090124482 A TW090124482 A TW 090124482A TW 90124482 A TW90124482 A TW 90124482A TW I287832 B TWI287832 B TW I287832B
Authority
TW
Taiwan
Prior art keywords
hard mask
layer
forming
gate
level
Prior art date
Application number
TW090124482A
Other languages
English (en)
Inventor
Massud Amnipur
David Wu
Scott Luning
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TWI287832B publication Critical patent/TWI287832B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

1287832 五、發明說明(!) [發明領域] 廣義來說,本發明係有關於半導體製程的一種技術, 更詳而細之,係有關於製造具有更小關鍵尺寸之半導體元 件的技術。 [相關技術說明] 在半導體產業界中,-直有一種持績的動力,要求加 快積體電路元件的操作速度,這些元件如微處理器、記憶 體之類的元件。這些動力也因客戶的需求注入的新血而加 速’客戶要求電腦和電子器件在更高的速率操作。像這樣 高速度的需求造成一種持續追求半導體元件能夠做得更 J例如縮小電晶體的尺寸。易言之,一個典型的場效電 晶體上的許多組成元件,如通道長度、接面深度、閘極的 介電質厚度等等,都也要相對的縮小。例如,在其它尺寸 都樣的情況下,FET的通道長度愈小,該電晶體就能以 更快的速度操作。因此之故,在半導體產業界中,一直有 一種持續的動力,要求將典型的電晶體上的組成元件予以 縮小’或等比例的縮小,以增加電晶體的整體速度,而和 這些電晶體整合成的積體電路器件的速度也會提高。除此 之外’將典型的電晶體上的組成元件予以縮小,或等比例 的縮小,在一定面積的晶圓上,也會增加所生產電晶體的 密度和數目,如此可以降低單一電晶體的成本,也會降低 由這些電晶體整合成的積體電路器件的成本。 然而,將典型的電晶體上的組成元件予以縮小,或等 比例的縮小,也要求一種技術可以在縮小比例的面積上生 r ------------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 1 91936 1287832 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(2 長和製版出如閘極導體和閘極介電質等組成元件,而且這 種技術應具有穩定、堅固和可重製的特性,最好是有自我 對準的方式(slef-aligned manner)。此種可以在縮小比例的 面積上刻出和製造出如閘極導體和閘極介電質等組成元 件’而且具有穩定、堅固和可重製特性的技術事實上又被 光學微影(photolithograph)的物理極限所限制。光的繞射性 質會限制閘極導體和閘極介電質等組成元件的關鍵尺寸, ’、尺寸大略相當於疋光學微影所用的光波長。如果改用深 紫外光(DUV)或者是高能量電子束的蝕刻方式,就需要改 變晶圓製造廠的使用工具以配合更短的波長,但這樣將花 費很大的資本。 一種獲得縮小的關鍵尺寸的傳統方法如第i至4圖所 不’該方法是藉助於昂貴的DUV光學微影或者高能量電 子束的餘刻方式。如第1圖的例子所示,一個傳統的Mq $ 電晶體100可能在半導體基底105上形成,這裏基底可能 是摻雜質的矽晶體。該M0S電晶體1〇〇可能有一個摻雜 質的多晶矽的閘極導體,如閘極氧化層i i 5上所生長的N+ 換雜多晶或P換雜多晶的閘極110,而閘極氧化層115又 生長在半導體基底105之上。該N+摻雜多晶或P+摻雜多晶 的閘極1〇〇和閘極氧化層115可以由介電質分隔物ι25所 分隔,而和MOS電晶體1〇〇上的摻雜(p+摻雜)的源極/ 没極區域120等之作用區不相連。介電質分隔物us可以 在N+摻雜(P +摻雜)的源極/没極擴展(:§〇幻區ι3〇上生長。 如第1圖所示,淺溝隔離(STT)區域140可以在電性上用來 本紙張尺度適用中國國家標準(CNS)A4規格(210 x297公爱) :丨丨丨一-----------f _!!丨丨訂---------線龜 (請先閱讀背面之注意事項再填寫本頁) 1287832 經濟部智慧財產局員工消費合作社印制衣 A7 B7 五、發明說明(3 ) 隔離MOS電晶體100和其鄰近的半導體元件,這些鄰近 的半導體元件是其它的MOS電晶體(未於圖中顯示出 來)。 N+摻雜(P +摻雜)SDE區域130通常是用來減少最大通 道電場的強度,而最大通道電場的強度位於靠近MOS電 晶體100的N+摻雜(P+摻雜)的源極/汲極區域120内,因此 減少最大通道電場的強度也就會降低連帶的熱載子效應。 和MOS電晶體100的N+掺雜(P+摻雜)的源極/汲極區域120 的雜質濃度比較,在N+摻雜(P+摻雜)SDE區域130使用較 低(或較輕)的摻雜濃度(較低或較輕至少達2或3個級數), 就會降低靠近MOS電晶體100的N+摻雜(P+摻雜)的源極/ 汲極區域120内的最大通道電場的強度,但如此也會增加 N+摻雜(p+摻雜)SDE區域130内源極至没極之間的電阻。 如第1圖所示,通常N+摻雜多晶(P+摻雜多晶)閘極11〇 和閘極氧化層115都會有一個關鍵尺寸5 DUV,而該關鍵尺 寸會等效地決定MOS電晶體100的通道長度;t。該通道 長度λ即是分別和N+摻雜多晶(P+摻雜多晶)閘極110與閘 極氧化層115相鄰之兩塊Ν+摻雜(Ρ +摻雜)SDE區域130之 間的距離。 如第2至4圖所示,通常Ν+摻雜多晶(ρ+摻雜多晶)閘 極11〇和閘極氧化層115的關鍵尺寸5 DUV的決定方式如下 說明。如第2圖所示,在半導體基底1〇5之上有一個閘極 氧化層215生成,而在該閘極氧化層215又有一個閘極導 體層210生成。有一層反反射鍵膜(ail antirefieetive c〇ating --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張&度適用中國國家標準(CNS)A4規格(210 x 297公釐) 3 91936 1287832 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(4 ) ARC)層230在該閘極導體層210之上生成。為了比較的緣 故’圖中也顯示了光阻層220,該光阻是用傳統的非〇υν 光學微衫的方式而在ARC層230之上所長成和製版的。該 經過製版的光阻層220會有一個最小、非深紫外光繞射限 制的尺寸(5 non-DUV,此尺寸有可能大於18〇〇A。 如第3圖所示,有一個光阻罩320在該arc層230 之上,而該光阻罩是用傳統的DUV光學微影和或者高能 量電子束的#刻方式所生長和製版出來的。為了比較的緣 故’圖中以虛線表示光阻層220。通常光阻罩320會有最 小、深紫外光繞射限制的關鍵尺寸5 Duv,而該尺寸可能大 約是1800A,此尺寸即會決定N+摻雜多晶(p+摻雜多晶)閘 極110和閘極氧化層11 5的大小。 如第4圖所示,具有關鍵尺寸占〇υν的光阻罩32〇當 做一個光罩來使用,以便將個別的部份41〇(以線虛表示之) 從閘極導體層210中移除(第2至3圖),藉此而形成一個 包含N摻雜多晶(p +摻雜多晶)閘極11 〇和部份閘極氧化層 215的閘極結構400,而閘極氧化層2 1 5在經過往後一連串 的蝕刻和處理之後,最後會變成閘極氧化層115。該閘極 結構400,還有N+摻雜多晶(P+摻雜多晶)閘極11〇,將來也 會有一個由光阻罩320所定義的關鍵尺寸$ 〇υν。但不論如 何,該由光阻罩320所定義的關鍵尺寸5duv和整體的蝕 刻還是太大了,因此我們希望有一種比較不昂貴的技術, 可以具有相當的操控性、可靠性和彈性,而能生長和製版 出如閘極導體相當減少尺寸而又具有穩定、堅固和可重製 木紙張尺度適用中國國家標準(CNS)A4i格⑵Qx 297公复「 --------tr---------^# (請先閱讀背面之注意事項再填寫本頁) 1287832
五、發明說明(5 ) 經濟部智慧財產局員工消費合作社印製 的特性,最好是有自我對準的方式的組成元件。 本發明的目的即是要克服,或者至少能減小前面所述 問題所引起一個或多個的效應。 [發明概述] 本發明特點之一是提供一種方法,該方法包括在基底 層之上生長形成一層閘極介電質層、在該閘極介電質層之 上生長形成一層閘極導體層、在閘極導體層之上生長形成 一層第一級硬光罩層、然後在第一級硬光罩層之上生長形 成一層第二級硬光罩層。該方法也包括在第二級硬光罩層 之上生長形成一層經修整後的光阻光罩,和利用該經修整 後的光阻光罩移除部份的第二級硬光罩層,然後在該第二 級硬光罩層内形成有線路製版的硬光罩,該有線路製版的 硬光罩即有第一尺寸。該方法更包括了藉由移除和該有線 路製版的硬光罩相鄰的第一級硬光罩層的一部份,而在第 一級硬光罩層内形成選擇性地餘刻硬光罩,該選擇性地餘 刻的硬光罩會有一個比第一尺寸還小的第二尺寸,另外還 包括利用該選擇性地蝕刻的硬光罩除移位於閘極介電質層 上部份的閘極導體層,藉此而形成一個閘極結構。 本發明的另一個特點為提供一種半導體元件,該半導 體元件的生成是利用一種方法而達成,該方法包括在基底 層之上生長形成一層閘極介電質層、在該閘極介電質層之 上生長形成一層閘極導體層、在閘極導體層之上生長形成 一層第一級硬光罩層、然後在第一級硬光罩層之上生長形 成一層第二級硬光罩層。該方法也包括在第二級硬光罩層 --------tr---------^* (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 5 91936 1287832 經濟部智慧財產局員工消費合作社印製 半導體基底 閘極氧化層 A7 B7 五、發明說明(6 ) 之上生長形成一層經修整後的光阻光罩,利用該經修整後 的光阻光罩移除部份的第二級硬光罩層,然後在該第二級 硬光罩層内形成有線路製版的硬光罩,該有線路製版的硬 光罩即有第一尺寸。該方法更包括了移除和該有線路製版 的硬光罩相鄰的第一級硬光罩層的一部份,藉此而在第一 級硬光罩層内選擇性地蝕刻硬光罩,該被選擇性地蝕刻的 硬光罩會有一個比第一尺寸還小的第二尺寸,另外還包括 利用該選擇性地#刻的硬光罩移除位於閘極介電質層上部 份的閘極導體層,藉此而形成一個閘極結構。 [圖式之簡單說明] 關於本發明的本身和其較佳的使用狀態,以及其更進 一步的目的和優點等,將會參考以下實施例之詳細說明, 並配合所附之圖式,以便獲得最佳方式之瞭解。在下面的 說明文字當中,所出現參考圖數字的最左邊的數字係表明 該段敛述所參考圖示的起始圖編號,以下是並說明· 第1至4圖所展示的是一種傳統的方法,該方法是藉 助於昂貴的DUV光學微影或/及高能量電子束的蝕刻方^ 而獲致縮小的關鍵尺寸;以及 第5至13圖所展示的是根據本發明的不同實施例而製 造的半導體元件之方法。 [元件符號說明] 100、1300 電晶體 105、505 110 閘極 115、215 120、1320源極/汲極區域 巧張尺度適种關家標準(CNS)A4規格⑽x 297公餐)___ 6 91936 ------------MW--------訂---------線# (請先閱讀背面之注意事項再填寫本頁) 1287832 A7 B7 經濟部智慧財產局員工消費合作社印製 125、1125介電質分隔物 130 源極/汲極擴展區 14〇 210、815 閘極導體層 220 230 反反射鍍膜層 320 400 閘極結構 410 510 介電質層 515 540、650、740 硬光罩 550 560 光阻層 570 655、745、820 部份區域 800 結構 光罩層 825、1020 邊界 910 閘極介電質 1030、1220 摻雜區域 1130 摻雜源極/没極擴展區 五、發明說明( 淺溝隔離區 光阻層 光阻罩 個別部份 導體層 上表面(硬光罩) 光阻光罩 900 1000 雜質植入 1075 光罩 1200 摻雜子 本發明因有不同的變化和形式而沒有固定的敘述,令 據圖示揭露的,只是利用特殊的實施例而做比較詳細的U 明’因此可以理解的,本發明所敘述的特殊實施例並不會 限定本發明只在所揭露的特殊範圍,相反的,在後面專寿 保護範圍所定義本發明的精神和範圍内,本發明應當包丰 所有在形式上可能的變更、等效、和改變。 [發明之詳細說明] 本發明所舉之實施例將在下面說明。為了清楚起見, 不是真實裝置的每一部份都會完整的在該特殊例子當中袭 述出來,然而應當可以理解的,任何像這樣實際的實施令 --------------------訂---------線 (請先閱讀背面之注音?事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 7 91936 1287832 A7 五、發明說明(8 ) (請先閱讀背面之注音?事項再填寫本頁} 在建置的時候,都需要做許多屬於建置上特定的決定,以 符合發展者特別的目的,這些目的像是滿足系統相關和商 業相關的限制,而這些限制又會依據不同的建置而有所不 同。再者,也可以理解的,像這樣的發展投入或許會變得 複雜,而且耗時,但無論如何,這些發展過程最後都會適 合給本專業一般訓練的人士,而變成例行的工作,而他們 也可以從所揭露的例子當中獲得很大的益處。 第5至13圖所展不的是根據本發明半導體元件的製造 方法之實施例。雖然在圖中半導體的各區域和結構都畫成 具有精準和銳利的組態和側面圖,對本領域專精的人士而 言’他們應該可以理解在真實的情況中,這些區域和結構 並不會如圖中所畫的那麼精轉。但無論如何,所附的圖示 確實可以將本發明做一示例性的闡釋。 經濟部智慧財產局員工消費合作社印製 整體而言,本發明直接是對一個半導體元件的製造做 說明。如此對本領域專精的人士而言’只要將本發明完整 的閱讀,他們可以清楚地了解本發明可以立即應用在不同 的技術層面上’例如,NMOS、PMOS、CMOS之類,也可 以立即應用在不同的元件上,像是包括邏輯元件記愫體 元件等等’當然應用也不侷限在這些元件上。 第5至13圖展示一種根據本發明而生成熥〇5電晶體 1_(第I3圖)的方法。如第5圖所示,可能有一層介電質 層5U)長在半導體基底5G5的上表面55()之上而半導發 基底可以是摻雜質的碎。介電質層51〇可以用不同的已知 技術來生成,例如,已知的技術有化學氣相沈積法(cvd)、° 表紙張尺度適用中國@豕標準(CNS)A4規格(210 X 297公餐 91936 經濟部智慧財產局員Η消費合作社印製 1287832 A7 - B7 五、發明說明(9 ) 低屋C VD(LPCVD)、電漿增強cVD(PECVD)、濺射和物理 氣相沈積法(PVD)、熱生成等等。介電質層51〇在上表面 5〇5上可能會有介於20至50A的厚度,例如,它可能是由 不同的介電物質而長成,像是氧化物、氮氧化合物、二氧 化矽、含氮之氧化物(例如,含氮的Si〇2)、摻雜氮氣的氧 化物(例如,植入N2的Si〇2)、氮氧化矽(Six〇yNz)等等。 介電質層510也可能形成任何適合的高介電常數或高 K的物質,其中的κ比8大或者等於8,這類物質像是氧 化鈦(TixOy,如Τι〇2)、氧化钽(Tax〇y,如Ta2〇5)、鈦酸鋇 锶(BST,BaTiCVSrTiO3)等等。介電質層51〇可能會有一個 等效的氧化厚度tQX_eq,例如,該厚度的範圍大約是2〇至 5〇A。等效的氧化厚度μ可以定義成介電物質的厚度(具 有介電常數Κ),在此定義下,該厚度所造成的單位面積的 電容值C大約和厚度有tweq的二氧化矽(si〇2)所造成的單 位面積的電容值Ccx相等。因為si〇2的介電常數kqx大約 疋 4 ’ 而且 C = K/t,,所以 t—K/C=K/Cox=Ktox_eq/Kox=Ktox_eq/4。例如,介電質層 510 可 能由氧化钽(TaxOy,如Ta2〇5)形成,它的介電常數K>ra〇大 約是 24。因此,由 t=KTa0/〇KTa0/Cox 和 t=KTa〇t()x_eq/ Km =24 tox-eq/4,範圍大約是20至50人的等效的氧化厚度tQxeq , 其所相對應的Ta2〇5的厚度tTa0會是介於120至300A的範 如第5圖所示’導體層515可能長在介電質層510之 上。導體層515可由一些不同已知的技術來長成,這些已 L-----------f .1丨訂---------線# (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x297公釐) 9 91936 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 1287832 A7 ____Β7___ 五、發明說明(1〇) 知的技術譬如有高密度離子化金屬電漿(IMP)沈積法、高密 度感應式藕合電漿(ICP)沈積法、滅射法、PVD、c VD、 LPCVD、PECVD等等之類,而它所長成的厚度可能大約介 於500至5000A的範圍之間。導體層515可由許多不同種 類的金屬材料所長成,這些可能的金屬材料是鋁(A1)、鈦 (Ti)、錯(Zr)、鎮(W)、组(Ta)、鎳(Ni)、鉬(Mo)、始(Co) 等等之類。 在其它不同所展示的實施例中,導體層515可能是摻 雜多砍導體層515。該換雜多碎導體層515可能由一些已 知的技術來長成,這些已知的技術譬如有CVD、LPC VD、 PECVD、PVD等等之類,而它所長成的厚度可能大約介於 500至5000A的範圍之間。在其中的一個展示實施例中, 摻雜多矽導體層515的厚度大約是2〇〇〇A,而且是由 LPCVD製程所長成,以獲得量產的目的。 舉例而言,用來製造一個NM0S電晶體之用的摻雜多 矽導體層515,其中可能摻雜了砷(As),而製造一個pM〇s 電晶體之用的摻雜多矽導體層515,其中可能摻雜了硼 (B),這些摻雜的目的是使多矽體變得更具導電性。而多矽 可能形成未摻雜,由LPCVD製程為了較高之產量,厚度 可能大約介於1〇0〇至2000A的範圍之間。該多矽的摻^ 在傳統上都是從多梦的上表面將摻雜原子或分子利用擴散 或者植入的方式’摻雜到多矽體的裏面。該摻雜多矽導體 層5i5可能會經過-道熱理處,這是—道快速熱退火⑽句 的過程,而其處理的溫度範圍大約是介於8〇〇至ιι〇〇Τ V紙張尺度適用中國國家標準(CNS)A4規(210 X 297公爱)_ AU 91936 (請先閱讀背面之注意事項再填寫本頁) --------t---------線· 1287832 經濟部智慧財產局員工消費合作社印製 A7 ----------- 五、發明說明(n) 之間,而處理的時間大約是5至6〇秒之間。 如第5圖所示,有一個第一級的硬光罩54〇可能會在 導體層515上形成,而第二級的硬光罩55()則在該第一級 的硬光罩540之上形成。比較好的方式,i第—級的硬光 罩540和第一級的硬光罩55〇都是由不同的物質所製成, 如此可以實施選擇性蝕刻,也就可以在第一級的硬光罩 540和第一級的硬光罩5 5〇上進行不同的製版,這部份將 在下面更詳細的說明。 第一級的硬光罩540和第二級的硬光罩55〇可以由不 同的介電質材料所製成,例如,纟中一者或兩者可以是氧 化物(如鍺之氧化物)、氮氧化合物(如二 氧化矽、含氮之氧化物(例如,含氮的以匕)、摻雜氮之氧 化物(例如,植入氮之Sl〇2)、氮化矽、氮氧化矽 等等。第一級的硬光罩540和第二級的硬光罩55〇可以由 任何適合的尚介電常數或高κ的物質所形成,其中的κ比 8大或者等於8,這類物質像是氧化鈦(Tix〇y,如Ti〇2)、 氧化鈕(TaxOy ’如 Ta205)、鈦酸鋇錄(BST,BaTi〇3/SrTi〇3) 等等。 第一級的硬光罩540和第二級的硬光罩55〇可以由一 些已知的技術來長成,這些已知的技術譬如有化學氣相沈 積法(CVD)、低壓 CVD(LPCVd)、電漿增強 CVD(pEcvD)、 濺射、物理氣相沈積法(PVD)、熱生成等等。第一級的硬 光罩540和第二級的硬光罩55〇的厚度可能大約介於5〇〇 至5000A的範圍之間。在其中的一個展示實施例中,第一 --------tr---------$· (請先閱讀背面之注意事項再填寫本頁) 本紙張,尺度適用中國國家標準(CNS)A4規ϋ1(} x 297公餐了 11 91936 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 1287832 A7 ___B7___ 五、發明說明(12) 級的硬光罩540由氮化矽(Si3N4)所組成,它的厚度大約是 ΙΟΟΟΑ ’ 是由 LPCVD 製程及遮幕沈積(blanket-deposited) 所長成,而第二級的硬光罩550的厚度也大約是looo A, 也是由LPCVD製程及遮幕沈積所長成。 如第5圖所示,一層非DUV製版的光阻層560(以虛 線表示之)’也就疋以非DUV光學微影所長成的,在第二 級的硬光罩550之上生成。該非Duv製版的光阻層560 利用一種控制的光阻修整方法而加以修整,然後形成一個 經過修整的光阻光罩570。該非DUV製版的光阻層560的 修整方式可以利用像是氧氣電漿法(〇2-plasma)為之。該經 過修整的光阻光罩570通常會有一個關鍵尺寸d uim,此關 鍵尺寸可能大約是11〇〇 A,而此關鍵尺寸將會決定N+摻雜 多晶(P+摻雜多晶)閘極110和閘極氧化層115的大小。於 不同的展示實施例中,有一種比較昂貴的製版光阻層(未於 圖中顯示出來),即用DUV光學微影或者高能量電子束的 蝕刻方式所生長和製版出來的,然後被修整,這時所使用 的就不是非DUV製版的光阻層56〇。又在其它不同的展示 實施例中,有一種比較昂貴的製版光阻光罩(未於圖中顯示 出來)’即用DUV光學微影或者高能量電子束的蝕刻方式 所生長和製版出來的,這時所使用的就不是那經過修整的 光阻光罩570。 如第6圖所示,經過修整的光阻光罩57〇有一個關鍵 尺寸占trim,這個關鍵尺寸是用來當做一個光罩,藉著它移 去在第二級的硬光罩550上,而未被該經過修整的光阻光 S 張尺度適國家標準(CNS)A7iiT^7^¥) i2 91936 -----------f ·!丨訂---------線· (請先閱讀背面之注意事項再填寫本頁) 1287832
經濟部智慧財產局員工消費合作社印製 罩570所保護的部份區域655(在圖中以虛線表示),然後形 成一個有製版的硬光罩65〇,至於移除的方法可以用如非
等向性的蝕刻過程。有製版的硬光罩6 J 有一個由該 6整過之光阻光罩570所定義的關鍵尺寸占…。 如第5至6圖所示,有製版的硬光罩65〇可以利用不 同已知的技術來長成,像是非等向性的蝕刻過程等。也可 以使用可選擇非等向性的蝕刻過程,這些可選擇非等向性 的#刻過程像是例如利用八氟環丁烷 (octafliicm)CyClebutane(C4F8))、和/或四氟甲烷 (etrafluoromethane(CF4))'和氬氣(Ar)做為蝕刻氣體的反應 離子蝕刻(RIE)過程。另一種方式則是使用三氟甲烷 (trifluoromethaneCCHF3))和氬氣做為蝕刻氣體的反應離子 餘刻過程。電漿蝕刻也可以用在不同的展示實施例中。 如第7圖所示,修整過之光阻光罩57〇有一個關鍵尺 寸占trim(第5至6圖),而該修整過之光阻光罩57〇例如可 以用浸泡的方式清洗掉。如第7圖所示,即使用蝕刻的方 法,可選擇性地適合導體層515及有製版的硬光罩65〇, 藉著移去和有製版的硬光罩650相鄰第一級的硬光罩54〇 的部份區域745(以虛線表示),然後形成選擇性餘刻硬光罩 740。該選擇性蝕刻硬光罩74〇可以利用不同已知的技術來 製成,這些技術可以用像是磷酸(h3p〇4)的選擇性等方向濕 式#刻法。例如,如果使用熱水成式的磷酸選擇性地蝕刻 氮化矽(S^NJ材質的第一級的硬光罩540,那麼Si3N4蝕刻 掉會以良好穩定的方式發生,而其蝕刻的速率粗估至少是 --------訂---------線# (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 13 91936 1287832 經濟部智慧財產局員Η消費合作社印製 A7 五、發明說明(14) 二氧化矽對第二級的硬光罩550蝕刻的十倍。 如第7圖所示’選擇性蝕刻硬光罩740有一個關鍵尺 寸△’此關鍵尺寸大約是300至900A,比有製版的硬光罩 650的關鍵尺寸占trim還要小。較好的方式為,關鍵尺寸△ 遠小於有製版的硬光罩650的關鍵尺寸占…m。有製版的硬 光罩650從修整過之光阻光罩57〇繼承該關鍵尺寸占。 根據本發明所揭露不同實施例中的任何一種況狀,在選擇 性蝕刻硬光罩740做選擇性蝕刻會比在修整過之光阻光罩 570進行再修整,來得更容易控制、更穩定及更可行。因 此,根據本發明所揭露不同實施例中的任何一種況狀,在 選擇性蝕刻硬光罩740做選擇性蝕刻有足夠的控制、穩定 和可行性,在更具縮小比例的元件上進行生長和製版元 件,如閘極導體和閘極介電質等,縮小的比例可達次i〇q 不米尺度,而且製程具穩定、堅固和可重製的特性,及自 我對準的方式。 如第8圖所示,有製版的硬光罩6s〇(第6至7圖)可以 用等向性及/或非等向性的蝕刻過程,如使用三氟甲烷 (CHFS)和氬氣(Ar)做為蝕刻氣體的反應離子蝕刻過程。另 外一種方法為例如可用濕式蝕刻技術。電漿蝕刻也可以用 在不同的展示實施例中。 如第8圖所示,導體層515(第5至7圖)中未由選擇性 蝕刻硬光罩740所保護的個別區域部份82〇(以虛線表示) 可乂用餘刻的方式移除,然後形成結構8〇〇。該結構⑼〇 可月匕會有邊界825,該結構800包括閘極導體815和閘極 尺度適用中國國家(210τ;公餐7 14 91936 --------^---------^ (請先閱讀背面之注意事項再填寫本頁) 1287832 經濟部智慧財產局員工消費合作社印製 A7 " —-----B7___ 五、發明說明(15) 介電質層510的-部份,經過一連串的餘刻和/或過程,這 些包括的部份最後會變成閉極介電f刚(第9圖)。如第8 圖所示,該結構8 0 〇可以利用不同已知的光顯影和#刻技 術來製成’像是使用漠化氫(HBr)及/或氣氣(A)和氮氣 (Ah)做為腐蝕氣體的非等向性蝕刻過程。 如第9圖所示,選擇性餘刻硬光罩740(第7至8圖) 可以利用等向性及/或非等向性蝕刻過程來移除。如第9圖 所不,由光阻所形成的光罩層9〇〇可以長在半導體基底5〇5 的上表面550之上,以及在結構8〇〇之上及相鄰的地方。 在上表面550之上的光罩層9〇〇的厚度為r,舉例而言, 其靶圍大約疋500至5000Λ。在所揭露不同的實例中,從 上表面550向上算的厚度Γ大約是5〇〇〇人,而在另一些不 同的展示實施例中,從上表面55〇向上算的厚度r大約是 在500至1000A的範圍。 如第10圖所示,光罩層9〇〇經過製版後,可以至少在 淺溝隔離區(STI)140的一部份上形成光罩1〇75。光罩層 900可以由一些已知的光顯影和/或蝕刻技術來製版以形成 光罩107 5。該光罩1〇7 5的邊界1〇2〇和結構800的邊界825 相隔ω的距離,例如,此距離的範圍大約是ι〇〇〇至 5500Α。 光罩1075也可以在STI區域140上形成,就如傳統的 cmos的製程方法一樣,例如如此當nmos(pmos)電晶體 區正植入以形成N·-摻雜(p、摻雜)區域i〇3〇時,光罩1〇75 就能保護PMOS(NMOS)電晶體區域。如第1〇圖所示,雜 --------訂---------線· (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公餐) 15 91936 1287832 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(16) 質植入1000(以箭號表示)可以植入,以形成N·-換雜(P、換 雜)區域1030。經過催化後,N--掺雜(P·-摻雜)區域1030 就變成N、摻雜(P、摻雜)SDE區域1130(第11圖)。 於不同的展示實施例中,N、摻雜(P、摻雜)區域1〇3〇 可以用植入的方式以形成,所植入的可以是含有As(適合 形成NMOS電晶體1300的N、摻雜,第13圖)或BF2(適 合形成PMOS電晶體1300的Ρ·_摻雜,第13圖)的SDE劑 量。當植入能量的範量大約是3至50keV時,該SDE劑量 的含量可以大約在1·〇χ1〇14 — LOxlO15離子/平方公分的範 圍。該N、摻雜(P、摻雜)區域1〇3〇可以再經過一道rtA過 程,此過程的溫度大約是800至ll〇〇°C的範圍,而時間大 約是5-60秒。和利用含有較易移動的p(適合形成NMOS 電晶體1300的掺雜)或B(適合形成PMOS電晶體1300 的P、摻雜)的SDE劑量做植入,然後再送入一個rtA過 程,之前所述的RTA過程可以催化植入過程,然後和基底 505形成一個更陡峭的邊緣,以及比較不緩慢變化的活化 植入接面。 如第11圖所示,介電質分隔物1125可以在靠近結構 800的地方形成,至於形成的時機可以在ν·-摻雜(p、摻雜) 區域1030被催化形成摻雜(P、摻雜)SDE區域1130之 前,也可以在N、摻雜(P、摻雜)區域1〇3〇被催化形成N·-摻雜(P、摻雜)SDE區域1130之後。如第u圖所示,介電 質分隔物1125可以利用不同已知的技術在n、掺雜(p、摻 雜)SDE區域1130之上而鄰近結構8〇〇的地方形成。例 -! !-----------f !!訂---------線· (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 16 91936 1287832 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(17) 如,介電質分隔物1125的生成可以利用在結構8〇〇之上且 鄰近的地方沈積一層合適物質的共形層(未於圖中顯示出 來)’然後在該共形遮幕沈積層實施非等向性RJE過程。例 如,母一介電質分隔物1125的厚度可以大約在3〇〇至15〇〇 A的範圍’此厚度係從結構800的邊界825開始量起。 如同閘極介電質515 —樣,介電質分隔物1125可以利 用不同的介電物質所形成,例如,氧化物、氮化物、氮氧 化物、二氧化石夕(Si02)、含氮的Si〇2、氮化矽(Si3N4)、氮 氧化矽(SixOyNz)等等之類。介電質分隔物1125也可以由任 何適合的低介電常數或低K的物質所形成,其中的κ比4 小或大約等於4。除此之外,介電質分隔物n25可以由氟 摻雜氧化物、氟摻雜氮化物、氟摻雜氮氧化合物、氟摻雜 低K物質等等之類的物質所組成。於展示當中的一個實施 例中,該介電質分隔物1125即由Si02所組成,而基礎厚 度大約是300 A。 如第12圖所示,植入摻雜子1200(於圖中以箭號表 示),因此引入摻雜原子及/或分子以形成N+-摻雜(P、摻雜) 區域1220。經過催化後,N+-摻雜(P + -摻雜)區域1220就變 成N+·摻雜(P+-摻雜)源極/汲極區域1320(第13圖)。於展 示當中的一個實施例中,含有摻雜子1200原子及/或分子 的劑量,其中適合摻雜子1200原子的劑量的範園大約是
1·〇χ1015 — 5.0xl015i〇ns/cm2,例如,P 用在所展示的 NMOS 電晶體,或B用在所展示的PMOS電晶體。摻雜子1200 原子及/或分子的植入能量可在大約是30至l〇〇keV的範 ------------f .!丨訂---------線鲁 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 17 91936 1287832 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(18) 圍。於其它展示當中的一個實施例中,摻雜子1200原子的 劑量的範圍即大約是l 〇xl〇15i〇ns/cm2,而P用在NMOS 電晶體,或B用在PMOS電晶體,它們的植入能量大約是 30keV 〇 摻雜子1200或許是N+植入,例如P、As、銻(Sb)、鉍 (Bi)之類,然後可能會形成重度摻雜N+源極/汲極區域 1320。N+植入子適合給NMOS電晶體1300的製造。另一 方面,摻雜子1200或許是P +植入,例如B、氟化棚(BF, BF2)、鋁(A1)、鍺(Ga)、銦(In)、鉈(T1)之類,然後可能會 形成重度摻雜P+源極/汲極區域1320〇P+植入適合給PM〇s 電晶體1300的製造。 如第13圖所不’ N+-換雜(P+-換雜)區域1220可以再經 過一道RTA過程,此過程的溫度大約是800至11〇〇。〇:的 範圍,而時間大約是5至60秒,之後就會形成N+-摻雜(p+-摻雜)源極/汲極區域1320。和利用含有比較不易移動的 As(適合形成NMOS電晶體1300的N、摻雜)或bf2(適合 形成PMOS電晶體1300的P+-摻雜)的SDE劑量做植入, 然後再送入一個RTA過程,之前所述的RTA過程可以催 化比較容易移動的P(適合形成NMOS電晶體13〇〇的N+-摻雜)或B(適合形成PMOS電晶體1300的P+_摻雜)的植入 過程’然後和基底505形成一個比較不陡崎的邊緣,以及 比較緩慢變化的活化植入接面。 另一方面,將會N+-摻雜(P + -掺雜)區域1220擴散和活 化以形成N+-摻雜(P+-摻雜)源極/汲極區域132〇的RTA過 -------------4$l--------訂---------線· (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 18 91936 1287832 A7 五、發明說明(19) (請先閱讀背面之;1意事碩再填寫本頁) 程可以和自我對準矽化(silicidati〇n)/岩化(saHcidati〇n)過 程(未以圖表示)一起進行,也可以在岩化之前、當中或之 後進行。像這樣岩化結合的RTA過程的執行溫度可在大約 疋800至l〇〇〇°C的範圍,而實施的時間大約是1〇至6〇 秒的範圍。 經濟部智慧財產局員工消費合作社印製 在前面所揭露實施例中的任何一方法,即具有縮小關 鍵尺寸之製造半導體元件的方法,其能夠使如閘極導體和 閘極介電質等在相當縮小比例、且以穩定、堅固、可重製 和自我對準的方式得以生長和製版。任何上述製造具有縮 小關鍵尺寸之製造半導體元件的方法實施例,都可以在毋 需藉助昂貴的DUV光學微影或者高能量電子束的蝕刻方 式而獲致縮小的關鍵尺寸。根據本發明中任何不同所揭露 的實施例中,選擇性蝕刻硬光罩74〇(第7圖)上的選擇性蝕 刻,都使MOS電晶體13〇〇的結構8〇〇得以有一個關鍵尺 寸□,此關鍵尺寸大約是600至liooA,而且可以有效地 決定通道長度Λ,對MOS電晶體1300而言,此通道長度 大約疋500至1〇〇 〇Α。根據本發明中任何不同所揭露的實 施例中,選擇性蝕刻硬光罩740(第7圖)上的選擇性蝕刻, 比經過修整的光阻光罩570(第5圖)進行再修整還更加容 易控制、更可靠、及更容易實現。因此,根據本發明中任 何不同所揭露的實施例中,選擇性蝕刻硬光罩74〇可充分 提供可控制、可靠、可實現的方式而生長和製版如閘極^ 體和閘極介電質等之元件,且該生長和製版可在如次1〇〇 奈米的相當縮小比例上、且以穩定、堅固、可重製和自我
1287832 A7 B7
五、發明說明(2〇) 對準的方式進行。 此本I::述的實施例只是用來闡釋說明的特殊例子,因 以因為不同的應用而有所變更,但其内容對已 從本教導獲益的專業人士而言,事實 肀戈上J視之為等效的形 式。除此之外,在下面的專利保護範圍之外並沒有強加 的限制加諸於已建構和設計的詳細内容上。因此之故,這 是相當顯明的,以上所揭露的特殊實施例可以改變或修 飾,但這些所有的改變都應當視之為在本發明的保護和精 神範圍之内。特別地,本文中所提到的各種數值的範園(如 「大約介於a至b的範圍」等等述敘)都可視之為一種各種 數值範圍的幕集合(power set,即所有子集合的集合),此 認知乃依據Georg Cantor而來。本文所保護的範圍將於下 面的專利保護範圍中說明。 0^-------- (請先閱讀背面之注咅?事項再填寫本頁) -線* 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(21〇x29/公复) 20 91936

Claims (1)

  1. wrn修 上匕/Sc -上匕
    申請專利範圍 1. 一種製造半導體元件的方法’該方法包含: 在基底層之上生長形成一層閘極介電質層; 在該該閑極介電質層之上生長形成一層閘極導體 層 層 在該閘極導體層之上生長形成一層第一級硬光罩 經濟部智慧財產局員工消費合作社印製 在該第一級硬光罩層之上生長形成一層第二級硬 光罩層; 在該第二級硬光罩層之上生長形成一層經修整後 的光阻光罩; 利用該經修整後的光阻光罩移除部份的第二級硬 光罩層,然後在該第二級硬光罩層内形成有線路製版的 硬光罩’該有線路製版的硬光罩具有第一尺寸; 藉由移除和該有線路製版的硬光罩相鄰的第一級 硬光罩層的一部份,而在第一級硬光罩層内形成選擇性 地姓刻硬光罩,該選擇性蝕刻硬光罩會有一個比第一尺 寸還小的第二尺寸;以及 利用該選擇虫刻硬光罩除移位於閘極介電質層 上部份的閘極導體層,藉此而形成一個閘極結構。 2.如申請專利範圍第1項之方法,其中關於形成該閘極介 電質層的方法包括利用氧化物、氮氧化合物、二氧化 石夕、含氮之氧化物、摻雜氮氣的氧化物、氮氧化秒、任 何適合的高介電常數(高K),K至少大約是8、氧化鈦、 氧化叙、鈦酸鋇勰等之一物質所製成的閘極介電質声。 · I I--II— ^ ·1111111« ' (請先閱讀背面之注意事項再填寫本頁) 本紙張尺錢财關lWSs)A4規格(2].0 X 297公^ 21 91936修正本 1287832 会88
    六、申請專利範圍 經濟部智慧財產局員工消費合作社印製 3 ·如申明專利範圍第丨項之方法,其中關於形成該閘極介 電貝層的方法包括利用化學氣相沈積法(CVD)、低壓 CVD(LPCVD)、電漿增強CVD(pECVD)、濺射和物理氣 相沈積法(PVD)、熱生成等之一方法所製成的閘極介電 質層。 4.如申请專利範圍第丨項之方法,其中關於形成該閘極介 電質層的方法包括使生成的閘極介電質層有一個等效 的氧化厚度t()x_eq,該厚度的範圍大約是2〇至5〇a。 5 ·如申4專利範圍第丨項之方法,其中關於形成該閘極導 體層的方法包括利用摻雜多晶矽、鋁(A1)、鈦(Ti)、錘 (Zr)、鎢(w)、鈕(Ta)、鎳(Ni)、翻(Mo)、鈷(Co)等之— 物質所製成的閘極導體層。 6·如申請專利範圍第1項之方法,其中關於形成該閘極導 體層的方法包括利用化學氣相沈積法(CVD)、低壓 CVD(LPCVD)、電漿增強CVD(PECVD)、濺射、物理氣 相沈積法(PVD)、高密度離子化金屬電漿(IMp)沈積法、 以及高密度感應式藕合電漿(ICP)沈積法等方法所製成 的閘極導體層。 7 ·如申請專利範圍第1項之方法,其中關於形成該閘極導 體層的方法包括使生成的閘極導體層的厚度介於5〇〇 至5000A之間的範圍。 8·如申請專利範圍第1項之方法,其中關於形成該第一級 和第二級硬光罩的方法包括利用氧化物、氮氧化合物、 二氧化矽、含氮之氧化物、氮化矽、氮摻雜氧化物、氮 ------------裝.-------訂—------ (請先Μ讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 22 91936修正本 1287832 第90124482號專利申請案 ----(96 年 6 月 15 曰) 八、,請專利範圍 =化Γ、高介電常數(高Κ)’其中的Κ至少是8、氧化 和笛_㉟欽酉文鎖錯之二種不同物質所製成的第-級 mvr»、&更光罩層也包括利用化學氣相沈積法 低【CVD(LPCVD)、電激增強 CVD(PECVD)、 ,射、物理氣相沈積法(PVD)、熱生成等方法所製成的 弟一級和第二級硬光罩。 I 9. 如申請專利範圍第8項之方法,其中關於形成該第一級 光罩層的方法包括利用氮化矽所形成的第一級硬光 罩層’而關於形成該第二級硬光罩層的方法包括利用二 氧化石夕所形成的第二級硬光罩層。 10. 如申請專利範圍第!項之方法:其中關於形成該選擇性 姓刻硬光罩的方法包括所形成的選擇性蚀刻硬光罩有 一個至多大約是1000A的關鍵尺寸。 11 · 一種製造半導體元件的方法,該方法包含·· $ 在基底層之上生長形成一層閘極介電質層; 在該閘極介電質層之上生長形成一層間極導體層; 在該閘極導體層之上生長形成一層第—級硬光罩 層; 在該第一級硬光罩層之上生長形成—層第二級硬 光罩層; 在該第二級硬光罩層之上生長和製版出一層光阻 光罩; θ 藉著修整該光阻光罩而形成一層修整之光阻光罩· 利用該經修整後的光阻光罩移除部份的第二級硬 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 91936修正本 C8 D8 1287832 第90124482號專利申請案 _年 6 月 15° B'、 六、申請專利範圍 光罩層,然後在該第二級硬光罩層内形成有線路製版的 硬光罩,該有線路製版的硬光罩即有第一尺寸; 移除該修整光阻光罩; 藉由移除和該有線路製版的硬光罩相鄰的第一級 硬光罩層的一部份,而在第一級硬光罩層内形成選擇性 地蝕刻硬光罩,該選擇性蝕刻硬光罩會有一個比第—尺 寸還小的第二尺寸;以及 利用該選擇性蝕刻硬光罩除移位於閘極介電質層 上β伤的閘極導體層部分,而形成一個閘極結構。 12•如申請專利範圍第u項之方法’其中關於形成該閑極 介電質層的方法包括利用氧化物、氮氧化合物、二氧化 石夕、含氮之氧化物 '摻雜氮氣的氧化物、氮氧化石夕、任 何適合的高介電常數(高κ),κ至少大約是8、氧化欽、 氧化组、鈦酸鋇錄等之一物質所製成的閑極介電質層。 如申請專利範圍第㈣之方法,其中形成該閉極介、 質層的方法包括㈣化學氣相㈣法(cvd)、低壓 cvD(LPCVD)、電漿增強cvd(pecvd)、減射和:理氣 相沈積法(PVD)、熱生成等之一方法所製成的問極 質層。 =專利範圍第U項之方法’其中關於形成該開極 "包貝層的方法包括使生成的閘極介電質層有一個等 效的氧化厚度Weq,該厚度的範圍大約是⑼至5〇入。 1 5 ·如申請專利範圍第u項方法 道其中關於形成該閘極 ^層的方法包括利用摻雜多晶秒、銘⑷)、鈦⑺)、 ㈣氏張尺度顧+ _ 格(210 x 297公麓f 91936修正本 Μ--------^7· — — ! — ! . (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1287832 ---- - D8 第90124佔2號專利申請案 - ---—-------(96 年 6 月 15 W 六、申請專利範圍 鍅(Zr)、鎢(W)、M(Ta)、鎳(Ni)、翻(M〇)、鈷(c〇)等之 一物質所製成的閘極導體層。 16·如申請專利範圍第“項之方法,其中關於形成該閘極 導體層的方法包括利用化學氣相沈積法(CVD)、低壓 CVD(LPCVD)、電漿增強CVD(pECVD)、濺射、物理氣 相沈積法(pvd)、高密度離子化金屬電漿(ΙΜρ)α積法、 以及高密度感應式藕合電漿(ICP)沈積法等方法 的閘極導體層。 1 7·如申請專利範圍第U項之方法,其中關於形成該閘極 導體層的方法包括使生成的閘極導體層的厚度介於5〇〇 至5000A之間的範圍。 如申請專利範圍第u項之方法,其中關於形成該第一 級和第二級硬光罩的方法包括利用氧化物、氮氧化合 物、二氧化矽、含氮之氧化物、氮化矽、氮摻雜氧化物、 氮氧化矽、高介電常數(高κ),其中的κ至少是8、氧 化鈦、氧化鈕、鈦酸鋇鏍等之二種不同物質所製成的第 一級和第二級硬光罩,也包括利用化學氣相沈積法 (CVD)、低壓 CVD(LPCVD)、電漿增強 CVD(PECVD)、 濺射、物理氣相沈積法(PVD)、熱生成等方法所製成的 第一級和第二級硬光罩。 如申請專利範圍第18項之方法,其中關於形成該第一 級硬光罩的方法包括利用氮化矽所形成的第一級硬光 罩,而關於形成該第二級硬光罩的方法包括利用二氧化 矽所形成的第二級硬光罩。 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---- φ 經濟部智慧財產局員工消費合作、社印製 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) 25 91936修正本
    經濟部智慧財產局員工消費合作社印製 1287832 六、申請專利範圍 20·士申π專利範圍第i j項之方法,其中關於形成該選擇 蝕刻硬光罩的方法包括所形成的選擇性蝕刻硬光罩 噶一個至多大約是1000人的關鍵尺寸。 ί丨—丨丨丨丨丨丨丨装- ------訂-丨—丨丨丨丨丨- (請先閱讀背面之注意事項再填寫本頁} 91936修正本 本紙張尺度適用中國國家標準(CNS)A4規格(2]0 χ 297公釐
TW090124482A 2000-10-17 2001-10-04 Method for manufacturing a semiconductor device TWI287832B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/690,152 US6482726B1 (en) 2000-10-17 2000-10-17 Control trimming of hard mask for sub-100 nanometer transistor gate

Publications (1)

Publication Number Publication Date
TWI287832B true TWI287832B (en) 2007-10-01

Family

ID=24771302

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090124482A TWI287832B (en) 2000-10-17 2001-10-04 Method for manufacturing a semiconductor device

Country Status (8)

Country Link
US (1) US6482726B1 (zh)
EP (1) EP1330838B1 (zh)
JP (1) JP4936633B2 (zh)
AU (1) AU2001279031A1 (zh)
DE (1) DE60143584D1 (zh)
GB (1) GB2387028B (zh)
TW (1) TWI287832B (zh)
WO (1) WO2002033739A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2810447B1 (fr) * 2000-06-16 2003-09-05 Commissariat Energie Atomique Procede de creation d'un etage de circuit integre ou conexistent des motifs fins et larges
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US7268066B2 (en) 2002-07-31 2007-09-11 Advanced Micro Devices, Inc. Method for semiconductor gate line dimension reduction
US6849530B2 (en) * 2002-07-31 2005-02-01 Advanced Micro Devices Method for semiconductor gate line dimension reduction
US6617085B1 (en) * 2002-08-16 2003-09-09 International Business Machines Corporation Wet etch reduction of gate widths
AU2003272656A1 (en) 2002-09-27 2004-04-19 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6737325B1 (en) * 2003-03-06 2004-05-18 Texas Instruments Incorporated Method and system for forming a transistor having source and drain extensions
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
KR100540475B1 (ko) * 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US7186649B2 (en) * 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
US7354847B2 (en) * 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7172969B2 (en) * 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
DE102004054558A1 (de) * 2004-11-11 2006-05-24 Infineon Technologies Ag Verfahren zur Herstellung einer resistiv schaltenden Speicherzelle, hergestellte Speicherzelle sowie daraus aufgebautes Speicherbauelement
JP2007081383A (ja) * 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
KR100678638B1 (ko) * 2005-11-08 2007-02-05 삼성전자주식회사 반도체 소자의 제조 방법
JP2008060541A (ja) * 2006-08-29 2008-03-13 Korea Electronics Telecommun Gstカルコゲニドパターンを備える相変化メモリ素子の製造方法
TWI328221B (en) 2006-12-05 2010-08-01 Lite On It Corp Method of dynamically detecting write quality of recordable optical disc
US7897501B2 (en) * 2007-04-25 2011-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a field-effect transistor having robust sidewall spacers
US8048764B2 (en) * 2009-09-30 2011-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual etch method of defining active area in semiconductor device
CN102110624B (zh) * 2009-12-23 2012-05-30 中芯国际集成电路制造(上海)有限公司 检测镍铂去除装置的方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP2014063776A (ja) * 2012-09-19 2014-04-10 Toshiba Corp 電界効果トランジスタ
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10204960B2 (en) 2015-09-17 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming polysilicon gate structure in image sensor device
US10199223B2 (en) 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10840333B2 (en) * 2018-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11342188B2 (en) * 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping high-k metal gates for tuning threshold voltages
WO2023056086A1 (en) * 2021-10-01 2023-04-06 PsiQuantum Corp. Patterning methods for photonic devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136402A (ja) * 1991-11-15 1993-06-01 Hitachi Ltd 半導体装置の製造方法
JP3371988B2 (ja) * 1993-08-31 2003-01-27 ソニー株式会社 薄膜の加工方法
US5431770A (en) 1993-10-13 1995-07-11 At&T Corp. Transistor gate formation
KR970004484B1 (ko) 1993-12-16 1997-03-28 금성일렉트론 주식회사 반도체 소자의 ldd mosfet 제조방법
US5976769A (en) * 1995-07-14 1999-11-02 Texas Instruments Incorporated Intermediate layer lithography
JPH09186166A (ja) * 1996-01-08 1997-07-15 Toshiba Corp 半導体装置の製造方法
JPH11297951A (ja) * 1998-02-13 1999-10-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
US5989967A (en) 1998-04-30 1999-11-23 Advanced Micro Devices, Inc. Transistor with ultra short length defined partially by sidewall oxidation of a gate conductor overlying the channel length
US6013570A (en) * 1998-07-17 2000-01-11 Advanced Micro Devices, Inc. LDD transistor using novel gate trim technique
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6283131B1 (en) * 2000-09-25 2001-09-04 Taiwan Semiconductor Manufacturing Company In-situ strip process for polysilicon etching in deep sub-micron technology

Also Published As

Publication number Publication date
GB0311301D0 (en) 2003-06-25
EP1330838A1 (en) 2003-07-30
AU2001279031A1 (en) 2002-04-29
US6482726B1 (en) 2002-11-19
DE60143584D1 (de) 2011-01-13
WO2002033739A1 (en) 2002-04-25
EP1330838B1 (en) 2010-12-01
GB2387028A (en) 2003-10-01
GB2387028B (en) 2004-11-10
JP2004512682A (ja) 2004-04-22
JP4936633B2 (ja) 2012-05-23

Similar Documents

Publication Publication Date Title
TWI287832B (en) Method for manufacturing a semiconductor device
JP4854245B2 (ja) 半導体装置の製造方法
US6849487B2 (en) Method for forming an electronic structure using etch
TW406312B (en) The method of etching doped poly-silicon
EP1422755B1 (en) A method of forming dual work function gate electrodes using a doped polysilicon and a metal silicon germanium compound
TW200525604A (en) A novel method of trimming technology
TW480678B (en) Method for producing nitride read only memory (NROM)
JPH11220027A (ja) ハードマスクを用いて半導体基板の開口部を形成する方法
EP1297563B1 (en) Bottom anti-reflective coating using rapid thermal anneal with oxidizing gas
US6900002B1 (en) Antireflective bi-layer hardmask including a densified amorphous carbon layer
US20060118825A1 (en) Nanocircuit and self-correcting etching method for fabricating same
TW541631B (en) Method of enhanced oxidation of MOS transistor gate corners
TW557538B (en) Method of forming side dielectrically isolated semiconductor devices and MOS semiconductor devices fabricated by this method
TWI331780B (en) Methods of forming a semiconductor device
TW413947B (en) Method for producing semiconductor device
CN110556298A (zh) 场效应晶体管的制造方法
US20020197839A1 (en) Anti-spacer structure for self-aligned independent gate implantation
US6242312B1 (en) Advanced titanium silicide process for very narrow polysilicon lines
US6284612B1 (en) Process to fabricate ultra-short channel MOSFETs with self-aligned silicide contact
US7470605B2 (en) Method for fabrication of a MOS transistor
TW546761B (en) Method of forming ultra-thin buffer oxide layers for gate dielectrics
TW400625B (en) The manufacture method of improving the etch uniformity of the complementary metal-oxide semiconductor polycrystal silicon
US6204148B1 (en) Method of making a semiconductor device having a grown polysilicon layer
TW447019B (en) Manufacturing method of a gate structure for reducing stress
TWI285915B (en) Method of treating surface of photoresist layer and method of forming patterned photoresist layer

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent