JP2004190136A - Member inside plasma treatment vessel - Google Patents

Member inside plasma treatment vessel Download PDF

Info

Publication number
JP2004190136A
JP2004190136A JP2003398334A JP2003398334A JP2004190136A JP 2004190136 A JP2004190136 A JP 2004190136A JP 2003398334 A JP2003398334 A JP 2003398334A JP 2003398334 A JP2003398334 A JP 2003398334A JP 2004190136 A JP2004190136 A JP 2004190136A
Authority
JP
Japan
Prior art keywords
plasma processing
coating
group
ceramic
processing container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003398334A
Other languages
Japanese (ja)
Other versions
JP4503270B2 (en
Inventor
Yasushi Mihashi
康至 三橋
Hiroyuki Nakayama
博之 中山
Masayuki Nagayama
将之 長山
Takeshi Moriya
剛 守屋
Hiroshi Nagaike
宏史 長池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2003398334A priority Critical patent/JP4503270B2/en
Priority to CNB2004100312103A priority patent/CN100418187C/en
Priority to KR1020040008149A priority patent/KR100540051B1/en
Priority to TW093102927A priority patent/TW200501253A/en
Priority to US10/773,245 priority patent/US20050103275A1/en
Publication of JP2004190136A publication Critical patent/JP2004190136A/en
Priority to US12/340,256 priority patent/US8043971B2/en
Application granted granted Critical
Publication of JP4503270B2 publication Critical patent/JP4503270B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a member inside a plasma treatment vessel in which the peeling of a sprayed coating formed as a top coat layer can be suppressed. <P>SOLUTION: A barrier coat layer 74 consisting of a material having excellent corrosion resistance to process gas comprising halogen elements is formed between a base material 71 and a sprayed coating 72, and the barrier coat layer 74 is subjected to sealing treatment with a resin or by a sol-gel method. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

本発明は、プラズマ処理容器内部材に関し、特にハロゲン元素を含むプロセスガスのプラズマ雰囲気が形成されたプラズマ処理容器内で用いられる、たとえば、デポシールド、排気プレート、フォーカスリング、電極板、静電チャック、処理容器内壁材などのプラズマ処理容器内部材に関する。   The present invention relates to an inner member of a plasma processing container, and more particularly to, for example, a deposition shield, an exhaust plate, a focus ring, an electrode plate, and an electrostatic chuck used in a plasma processing container in which a plasma atmosphere of a process gas containing a halogen element is formed. , A plasma processing vessel inner member such as a processing vessel inner wall material.

半導体および液晶デバイスなどの製造プロセスでは、プラズマを用いたプラズマ処理が多用されているが、このようなプラズマ処理においては、処理容器内でCやNFのような弗化物、BClやSnClなどの塩化物、HBrの如き臭化物をはじめとするハロゲン元素を含むガスを使用するため、処理容器内部材が著しく腐食損耗するという問題がある。したがって、たとえば、デポシールド、排気プレート、フォーカスリング、電極板、静電チャック、処理容器内壁材などのプラズマ処理容器内部材には、耐プラズマ性が強く要求される。 2. Description of the Related Art In a manufacturing process of semiconductors and liquid crystal devices, plasma processing using plasma is often used. In such plasma processing, fluoride such as C 4 F 8 or NF 3 or BCl 3 is used in a processing chamber. and SnCl 4 chlorides such as, for using the gas containing a halogen element, including such bromide HBr, there is a problem that the processing container member is significantly corroded wear. Therefore, for example, the plasma processing container inner members such as the deposition shield, the exhaust plate, the focus ring, the electrode plate, the electrostatic chuck, and the processing container inner wall material are required to have high plasma resistance.

これに対して、このようなプラズマ処理容器内部材として、Al、Al合金、Al酸化物、石英等からなる基材の表面に、AlやYなどの耐食性の高い溶射被膜を形成して、処理容器内部材の耐プラズマ性能を向上する技術が提案されている(例えば、特許文献1参照)。また、基材と溶射被膜との間には、さらに陽極酸化被膜が形成されることもある。そして、溶射被膜との密着性を向上させるため、基材または陽極酸化被膜の表面をブラスト処理等により意図的に粗し、アンカー効果を期待して、溶射被膜の剥がれを防止するようにしている。 On the other hand, as a member inside such a plasma processing container, a sprayed coating having high corrosion resistance such as Al 2 O 3 or Y 2 O 3 is formed on the surface of a substrate made of Al, Al alloy, Al oxide, quartz, or the like. Has been proposed to improve the plasma resistance of the processing container inner member (for example, see Patent Document 1). Further, an anodic oxide coating may be further formed between the base material and the thermal spray coating. And, in order to improve the adhesion with the thermal spray coating, the surface of the base material or the anodic oxide coating is intentionally roughened by blasting or the like, and the peeling of the thermal spray coating is prevented in expectation of an anchor effect. .

上述のプラズマエッチング処理装置の場合、腐食性の高いハロゲン元素を含むプロセスガスを使用することに加え、処理容器内に付着した反応生成物を除去するため、純水、弗素系溶剤、あるいはアセトンなどの有機溶剤などの洗浄液によりクリーニングを定期的に実施するため、基材と溶射被膜との間、または基材と陽極酸化被膜との間に、プロセスガスやクリーニングのための洗浄液が侵入し、そのガスや洗浄液と反応して、基材表面に腐食生成物が発生し、その結果、溶射被膜が剥離するという問題があった。   In the case of the above-described plasma etching apparatus, in addition to using a process gas containing a highly corrosive halogen element, pure water, a fluorine-based solvent, acetone, or the like is used to remove reaction products attached to the inside of the processing container. Since cleaning is periodically performed with a cleaning liquid such as an organic solvent, a process gas or a cleaning liquid for cleaning intrudes between the base material and the sprayed coating or between the base material and the anodic oxide coating. There is a problem that a corrosion product is generated on the surface of the base material by reacting with the gas or the cleaning liquid, and as a result, the sprayed coating is peeled off.

すなわち、図21の(a)に示すように、プラズマ処理容器内部材100において、Al等の基材101上の溶射被膜(トップコート層)102の表面には、CFポリマーなどの反応生成物103が堆積するが、この反応生成物は、図21の(b)に示すように所定の洗浄液104に浸漬するなどして、定期または不定期に除去するようにしている。すると、図21の(c)に示すように、溶射被膜102の貫通気孔、溶射被膜102との境界部、あるいはプラズマやガス等により損傷した部位より、プロセスガス、洗浄液、あるいは反応生成物と反応した液が侵入し、基材101の表面に到達する。それによって、基材101の表面に腐食生成物が生成される、あるいはアンカー効果を得るために基材表面に形成されていた凹凸が平滑化され、アンカー効果が失われることにより、図21の(d)に示すように、溶射被膜102に基材101から剥離する部分105が生じるものと考えられる。   That is, as shown in FIG. 21A, in the plasma processing container inner member 100, a reaction product 103 such as a CF polymer is formed on a surface of a thermal spray coating (top coat layer) 102 on a substrate 101 such as Al. The reaction product is periodically or irregularly removed by dipping in a predetermined cleaning liquid 104 as shown in FIG. 21B. Then, as shown in FIG. 21C, the process gas, the cleaning liquid, or the reaction product reacts with the through-holes of the thermal spray coating 102, the boundary portion with the thermal spray coating 102, or the portion damaged by plasma, gas, or the like. The liquid that has entered penetrates and reaches the surface of the substrate 101. As a result, a corrosion product is generated on the surface of the base material 101, or the unevenness formed on the base material surface for obtaining the anchor effect is smoothed, and the anchor effect is lost. As shown in d), it is considered that a portion 105 that separates from the base material 101 is formed in the thermal spray coating 102.

一方、上述のようなAlやYは、空気中の水分との反応性が高いため、プラズマ処理容器の内壁材等として用いられる場合には、処理容器である真空チャンバを大気開放したときや真空チャンバをウェットクリーニングするときに水分を大量に取り込む可能性を有している。そして、このように水分を大量に取り込むと、プロセス中に真空チャンバ内が高温になったり、プラズマ放電したりすることによって、真空チャンバ内壁から水分が脱離し、チャンバ内壁や堆積物と化学反応してパーティクルが生成されたり、真空引き時間が長くなったり、異常放電が発生したり、成膜特性が悪くなるなどの悪影響を及ぼすといった大きな欠点がある。 On the other hand, since Al 2 O 3 and Y 2 O 3 as described above have high reactivity with moisture in the air, when used as an inner wall material of a plasma processing container, a vacuum chamber which is a processing container is required. There is a possibility that a large amount of water is taken in when the chamber is opened to the atmosphere or when the vacuum chamber is wet-cleaned. When such a large amount of moisture is taken in, the inside of the vacuum chamber becomes hot or undergoes plasma discharge during the process, so that moisture is desorbed from the inner wall of the vacuum chamber and chemically reacts with the inner wall and the deposits of the chamber. However, there are serious disadvantages such as generation of particles, elongation of evacuation time, occurrence of abnormal discharge, and deterioration of film formation characteristics.

これに対して特許文献2には、真空引きの際に、プラズマを生成させて、そのプラズマをチャンバ内壁面に接触させて、その温度を上昇させ、付着した水分子を気化させて短時間で真空引きする方法が開示されている。また、特許文献3には、真空チャンバの蓋部材にヒータを設け、プラズマ処理時にヒータを制御して真空チャンバの内壁の温度を常に所定温度以上に保って、真空チャンバの内壁に吸着された水分や有機物の量を低減させるとともに、吸着された水分や有機物を速やかに蒸発させる技術が開示されている。さらに、特許文献4、5には、真空チャンバの内壁に着脱可能なシールドを設け、付着した汚染物に吸着される水分等の影響により真空到達時間が所定値を超えた場合にシールド部材の清掃交換を指示する技術が開示されている。   On the other hand, in Patent Document 2, plasma is generated at the time of vacuum evacuation, the plasma is brought into contact with the inner wall surface of the chamber, the temperature is raised, and the attached water molecules are vaporized in a short time. A method of evacuating is disclosed. Further, Patent Literature 3 discloses that a heater is provided on a cover member of a vacuum chamber, the heater is controlled at the time of plasma processing, and the temperature of the inner wall of the vacuum chamber is constantly maintained at a predetermined temperature or higher, and the moisture adsorbed on the inner wall of the vacuum chamber is controlled. And a technique for reducing the amount of organic substances and quickly evaporating the adsorbed moisture and organic substances. Further, in Patent Documents 4 and 5, a detachable shield is provided on the inner wall of the vacuum chamber, and when the vacuum arrival time exceeds a predetermined value due to the influence of moisture or the like adsorbed by attached contaminants, the shield member is cleaned. A technique for instructing replacement is disclosed.

しかしながら、特許文献2〜5の技術は、いずれも水分が吸着されてからの対応であるため、その効果は限定的であり、根本的な解決には至っていないのが現状である。   However, since the techniques of Patent Documents 2 to 5 all deal with the adsorption of moisture, their effects are limited and have not yet reached a fundamental solution.

特開平8−339895号公報(第3頁、第2図)JP-A-8-339895 (page 3, FIG. 2) 特開平8−181117号公報JP-A-8-181117 特開平11−54484号公報JP-A-11-54484 特開平11−54487号公報JP-A-11-54487 特開2002−124503号公報JP-A-2002-124503

本発明は、このような従来技術が有する課題に鑑みて成されたものであり、その目的は、トップコート層として形成した溶射被膜の剥がれを抑制することができる、新規かつ改良されたプラズマ処理容器内部材を提供することにある。   The present invention has been made in view of the above-mentioned problems of the related art, and an object of the present invention is to provide a new and improved plasma treatment capable of suppressing peeling of a thermal spray coating formed as a top coat layer. It is to provide a member in a container.

また、他の目的は、プラズマ処理時における水分の離脱が生じ難いプラズマ処理容器内部材を提供することにある。   Another object of the present invention is to provide a plasma processing container inner member in which moisture is less likely to be released during plasma processing.

上記課題を解決するため、本発明の第1の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分が樹脂によって封孔処理されていることを特徴とする、プラズマ処理容器内部材を提供する。   In order to solve the above problems, according to a first aspect of the present invention, there is provided a plasma processing container internal member having a base material and a coating formed by spraying ceramics on the surface thereof, wherein the ceramics constituting the coating is: , B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd, at least one of which is sealed with a resin. And a member inside the plasma processing vessel.

本発明の第2の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が樹脂によって封孔処理されていることを特徴とするプラズマ処理容器内部材を提供する。   According to a second aspect of the present invention, there is provided an inner member of a plasma processing vessel having a base material and a coating formed on the surface thereof by spraying ceramics, wherein the coating is B, Mg, Al, Si, Ca, A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of Cr, Y, Zr, Ta, Ce, and Nd; and B, Mg, Al, Si, Ca, Cr, Y, Zr, A second ceramics layer made of ceramics containing at least one element selected from the group consisting of Ta, Ce and Nd, and at least a part of at least one of the first and second ceramics layers is sealed with a resin. Provided is an inner member of a plasma processing container, which is subjected to hole processing.

上記本発明の第1および第2の観点において、前記樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものが好適である。   In the first and second aspects of the present invention, the resin is preferably selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA.

本発明の第3の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする、プラズマ処理容器内部材を提供する。   According to a third aspect of the present invention, there is provided an inner member of a plasma processing container having a base material and a coating formed on the surface thereof by spraying ceramics, wherein the ceramics constituting the coating are B, Mg, Al, A plasma comprising at least one element selected from the group consisting of Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd, at least a portion of which is sealed by a sol-gel method. A member inside a processing container is provided.

本発明の第4の観点では、基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする、プラズマ処理容器内部材。   According to a fourth aspect of the present invention, there is provided a plasma processing container inner member having a base material and a coating formed on the surface thereof by spraying ceramics, wherein the coating is formed of B, Mg, Al, Si, Ca, A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of Cr, Y, Zr, Ta, Ce, and Nd; and B, Mg, Al, Si, Ca, Cr, Y, Zr, A second ceramic layer made of a ceramic containing at least one element selected from the group consisting of Ta, Ce and Nd, wherein at least a part of at least one of the first and second ceramic layers is formed by a sol-gel method. An inner member of a plasma processing container, which is subjected to a sealing process.

上記本発明の第3および第4の観点において、前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことが好ましい。   In the third and fourth aspects of the present invention, it is preferable that the sealing treatment is performed using an element selected from elements belonging to Group 3a of the periodic table.

上記本発明の第1から第4の観点において、前記セラミックスとしては、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種を好適に用いることができる。 In a fourth aspect the first of the present invention, examples of the ceramic, B 4 C, MgO, Al 2 O 3, SiC, Si 3 N 4, SiO 2, CaF 2, Cr 2 O 3, Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , at least one selected from the group consisting of CeF 3 and Nd 2 O 3 can be suitably used.

本発明の第5の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、セラミックスの溶射によって形成された主層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された元素を含むセラミックスからなるバリアコート層とを有することを特徴とする、プラズマ処理容器内部材を提供する。   According to a fifth aspect of the present invention, there is provided an inner member of a plasma processing vessel having a base material and a coating formed on the surface thereof, wherein the coating comprises: a main layer formed by spraying ceramics; And a barrier coat layer made of a ceramic containing an element selected from the group consisting of Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd. I do.

上記本発明の第5の観点において、前記バリアコート層として、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスを好適に用いることができる。また、前記バリアコート層としては、少なくともその一部が樹脂によって封孔処理された溶射被膜を用いることができ、前記樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものが好適である。あるいは、前記バリアコート層としては、少なくともその一部がゾルゲル法によって封孔処理された溶射被膜を用いることもでき、前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことが好ましい。 In the fifth aspect of the present invention, as the barrier coat layer, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , At least one ceramic selected from the group consisting of YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3 can be suitably used. Further, as the barrier coat layer, a thermal sprayed coating at least part of which is sealed with a resin can be used, and the resin includes SI, PTFE, PI, PAI, PEI, PBI, and PFA. Those selected from the group are preferred. Alternatively, as the barrier coat layer, a thermal spray coating, at least a part of which is subjected to a sealing treatment by a sol-gel method, may be used, wherein the sealing treatment is selected from elements belonging to Group 3a of the periodic table. It is preferable to carry out using.

本発明の第6の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、セラミックスの溶射によって形成された主層と、前記基材と前記主層との間に形成されたエンジニアリングプラスチックからなるバリアコート層とを有することを特徴とする、プラズマ処理容器内部材を提供する。   According to a sixth aspect of the present invention, there is provided an inner member of a plasma processing container having a base material and a coating formed on the surface thereof, wherein the coating is formed of a main layer formed by spraying ceramics; And a barrier coat layer made of engineering plastic formed between the main layer and the main layer.

上記本発明の第6の観点において、前記エンジニアリングプラスチックとして、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択されるプラスチックを好適に用いることができる。   In the sixth aspect of the present invention, a plastic selected from the group consisting of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM can be suitably used as the engineering plastic.

上記本発明の第5および第6の観点において、前記主層は、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスを好適に用いることができる。 In the fifth and sixth aspect of the present invention, the main layer, B 4 C, MgO, Al 2 O 3, SiC, Si 3 N 4, SiO 2, CaF 2, Cr 2 O 3, Y 2 O At least one ceramic selected from the group consisting of 3 , YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3 can be suitably used.

本発明の第7の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなり、前記被膜の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材を提供する。   According to a seventh aspect of the present invention, there is provided a plasma processing container internal member having a substrate and a coating formed on the surface thereof, wherein the coating comprises at least one element belonging to Group 3a of the periodic table. The inner member of the plasma processing container is provided, wherein at least a part of the coating is hydrated with steam or high-temperature water.

本発明の第8の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材を提供する。   According to an eighth aspect of the present invention, there is provided a member inside a plasma processing vessel having a substrate and a film formed on the surface thereof, wherein the film contains at least one element belonging to Group 3a of the periodic table. A first ceramics layer comprising a ceramic containing at least one element belonging to Group 3a of the periodic table; and a second ceramics layer comprising a ceramic containing at least one element belonging to Group 3a of the periodic table, wherein at least one of the first and second ceramics layers is provided. Provided is an inner member of a plasma processing vessel, characterized in that at least a part thereof is hydrated by steam or high-temperature water.

上記本発明の第7および第8の観点において、前記被膜としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記被膜を構成するセラミックスとしては、Y、CeO、Ce、Ndから選択されたものであることが好適である。 In the seventh and eighth aspects of the present invention, a thermal sprayed coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used as the coating. As the ceramics constituting the coating, it is preferable that one selected from Y 2 O 3, CeO 2, Ce 2 O 3, Nd 2 O 3.

本発明の第9の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、セラミックスの溶射で形成された第2セラミックス層とを有し、前記第1セラミックス層の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材を提供する。   According to a ninth aspect of the present invention, there is provided a member inside a plasma processing vessel having a substrate and a coating formed on the surface thereof, wherein the coating comprises at least one element belonging to Group 3a of the periodic table. A first ceramics layer made of ceramics containing the first ceramics layer, and a second ceramics layer formed by spraying the ceramics, wherein at least a part of the first ceramics layer is hydrated with steam or high-temperature water. To provide a member inside the plasma processing vessel.

上記本発明の第9の観点において、前記第1セラミックス層としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記第1セラミックス層を構成するセラミックスとしては、Y、CeO、Ce、Ndから選択されたものであることが好適である。さらに、前記第2セラミックス層を構成するセラミックスとしては、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種が好適である。 In the ninth aspect of the present invention, as the first ceramics layer, a thermal sprayed coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used. Further, it is preferable that the ceramic constituting the first ceramic layer is selected from Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 . Further, as the ceramics constituting the second ceramics layer, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2, TaO 2, CeO 2, Ce 2 O 3, CeF 3 and at least one selected from the group consisting of Nd 2 O 3 is preferred.

本発明の第10の観点では、基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物からなる水酸化物層を有することを特徴とする、プラズマ処理容器内部材を提供する。   According to a tenth aspect of the present invention, there is provided a plasma processing container internal member having a substrate and a coating formed on the surface thereof, wherein the coating comprises at least one element belonging to Group 3a of the periodic table. Provided is a member inside a plasma processing container, which has a hydroxide layer made of a hydroxide containing the same.

上記本発明の第10の観点において、前記水酸化物層としては、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜を用いることができる。また、前記水酸化物層を構成する水酸化物としては、Y(OH)、Ce(OH)、Nd(OH)から選択されたものであることが好適である。さらに、前記水酸化物層は少なくともその一部が封孔処理されていてもよい。 In the tenth aspect of the present invention, as the hydroxide layer, a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique can be used. The hydroxide constituting the hydroxide layer is preferably selected from Y (OH) 3 , Ce (OH) 3 , and Nd (OH) 3 . Further, at least a part of the hydroxide layer may be subjected to a sealing treatment.

上記本発明の第1〜第10の観点において、前記基材と前記被膜との間に、陽極酸化被膜を有していてもよく、この場合には前記陽極酸化被膜は、金属塩水溶液により封孔処理されていることが好ましい。また、前記陽極酸化被膜は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択された樹脂により封孔処理されたものであってもよい。   In the first to tenth aspects of the present invention, an anodic oxide coating may be provided between the base material and the coating. In this case, the anodic oxide coating is sealed with a metal salt aqueous solution. It is preferable that holes have been treated. Further, the anodic oxide film may be one subjected to a sealing treatment with a resin selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA.

本発明の第11の観点では、周期律表第3a族に属する少なくとも1種の元素を含むセラミックス焼結体からなり、その少なくとも一部が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材を提供する。この場合に、前記セラミックス焼結体は、Y、CeO、Ce、Ndから選択されたセラミックスを水化処理したものが好ましい。 An eleventh aspect of the present invention is characterized in that the ceramic sintered body includes at least one element belonging to Group 3a of the periodic table, and at least a part of the ceramic sintered body has been hydrated with steam or high-temperature water. Provided in the plasma processing container. In this case, it is preferable that the ceramic sintered body is obtained by subjecting a ceramic selected from Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 to a hydration treatment.

本発明の第12の観点では、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含むセラミックス焼結体からなることを特徴とするプラズマ処理容器内部材を提供する。この場合に、前記セラミックス焼結体に含まれる水酸化物は、Y(OH)、Ce(OH)、Nd(OH)から選択されたものであることが好ましい。 According to a twelfth aspect of the present invention, there is provided a member inside a plasma processing container, comprising a ceramic sintered body containing a hydroxide containing at least one element belonging to Group 3a of the periodic table. In this case, the hydroxide contained in the ceramic sintered body is preferably selected from Y (OH) 3 , Ce (OH) 3 , and Nd (OH) 3 .

本発明によれば、基材と、溶射によって形成された被膜とを有する構造のプラズマ処理容器内部材において、バリアとして機能する種々の層を設けたので、基材表面が、プロセスガスまたは洗浄液に曝されないようにすることができ、溶射によって形成した被膜の剥がれを抑制することができる。   According to the present invention, since various layers functioning as barriers are provided in the plasma processing vessel inner member having the structure having the base material and the coating formed by thermal spraying, the base material surface is provided with a process gas or a cleaning liquid. Exposure can be prevented, and peeling of the coating formed by thermal spraying can be suppressed.

また、本発明によれば、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスを水化処理することにより、または周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含む層または焼結体を構成することにより、水分を吸着しにくく、脱離しにくい構造とすることができるので、プラズマ処理時における水分の離脱が生じ難いプラズマ処理容器内部材を得ることができる。   Further, according to the present invention, a ceramic containing at least one element belonging to Group 3a of the periodic table is subjected to hydration treatment or a hydroxide containing at least one element belonging to Group 3a of the periodic table is provided. By forming a layer or a sintered body containing a substance, it is possible to obtain a structure in which water is hardly adsorbed and hardly desorbed. it can.

以下、本発明の実施の形態について詳細に説明する。
図1は、本発明の対象となるプラズマ処理容器内部材を有するプラズマ処理装置であるプラズマエッチング処理装置の一例を示す縦断面図である。図中2は処理容器をなす真空チャンバであり、アルミニウムなどの導電性材料により気密構造をなすように形成されており、真空チャンバ2は保安接地されている。また、真空チャンバ2の内面には、円筒形状のデポシールド2aが配置され、内面がプラズマにより損傷されるのを防止する。そして、真空チャンバ2内には、上部電極を兼用するガスシャワーヘッド3と、下部電極を兼用する載置台4とが対向して設けられており、底面には、たとえばターボ分子ポンプやドライポンプなどからなる真空排気手段21と連通する真空排気路としての排気管22が接続される。また、真空チャンバ2の側壁部には、被処理体たとえば半導体ウエハWを搬入出するための開口部23が形成され、ゲートバルブGにより開閉自在とされている。この側壁部の外方には、開口部23を上下に挟む位置に、たとえば夫々リング状をなす永久磁石24,25が設けられている。
Hereinafter, embodiments of the present invention will be described in detail.
FIG. 1 is a longitudinal sectional view showing an example of a plasma etching processing apparatus which is a plasma processing apparatus having a member inside a plasma processing container to which the present invention is applied. In the figure, reference numeral 2 denotes a vacuum chamber forming a processing vessel, which is formed of a conductive material such as aluminum so as to form an airtight structure, and the vacuum chamber 2 is grounded for safety. In addition, a cylindrical deposition shield 2a is disposed on the inner surface of the vacuum chamber 2 to prevent the inner surface from being damaged by plasma. In the vacuum chamber 2, a gas shower head 3 also serving as an upper electrode and a mounting table 4 also serving as a lower electrode are provided to face each other. An exhaust pipe 22 is connected as a vacuum exhaust path communicating with the vacuum exhaust means 21 composed of. In addition, an opening 23 for carrying in / out an object to be processed, for example, a semiconductor wafer W, is formed in the side wall of the vacuum chamber 2, and can be opened and closed by a gate valve G. Outside the side wall portion, for example, ring-shaped permanent magnets 24 and 25 are respectively provided at positions vertically sandwiching the opening portion 23.

ガスシャワーヘッド3は、載置台4上の被処理体Wに対向する位置に多数の孔部31が形成され、上部のガス供給管32から送られる流量制御または圧力制御されたプロセスガスを、当該孔部31を介して被処理体Wの表面へ均一に供給するように構成されている。   The gas shower head 3 has a large number of holes 31 formed at positions on the mounting table 4 that face the object W to process the flow-controlled or pressure-controlled process gas sent from the upper gas supply pipe 32. It is configured to uniformly supply the surface of the processing target W through the holes 31.

ガスシャワーヘッド3の下方に約5mm〜150mmの間隔で離間して設けられる載置台4は、たとえば表面がアルマイト処理されたアルミニウムなどからなり、真空チャンバ2に対して絶縁部材41aにより絶縁された円柱状の本体部41と、この本体部41の上面に設けられた静電チャック42と、この静電チャック42の周囲を囲む環状のフォーカスリング43と、このフォーカスリング43と本体部41との間に設けられた環状の絶縁部材である絶縁リング43aとを備えた構成とされている。なお、フォーカスリング43は、プロセスに応じて絶縁性または導電性の材料が選択され、反応性イオンを閉じ込めるまたは拡散させるように作用する。   The mounting table 4 provided below the gas shower head 3 at a distance of about 5 mm to 150 mm is made of, for example, aluminum whose surface is anodized, and is insulated from the vacuum chamber 2 by the insulating member 41a. A pillar-shaped main body 41, an electrostatic chuck 42 provided on the upper surface of the main body 41, an annular focus ring 43 surrounding the periphery of the electrostatic chuck 42, and a space between the focus ring 43 and the main body 41. And an insulating ring 43a, which is an annular insulating member provided in the first embodiment. The focus ring 43 is made of an insulating or conductive material depending on the process, and acts to confine or diffuse reactive ions.

載置台4のたとえば本体部41には、コンデンサC1およびコイルL1を介して高周波電源40が接続され、たとえば13.56MHz〜100MHzの高周波電力が印加される。   A high frequency power supply 40 is connected to, for example, the main body 41 of the mounting table 4 via a capacitor C1 and a coil L1, and high frequency power of, for example, 13.56 MHz to 100 MHz is applied.

また、載置台4の内部には、冷却ジャケット等の温度調整手段55aと、たとえばHeガスを被処理体Wの裏面に供給する熱伝達ガス供給手段55bと、がそれぞれ設けられ、これら温度調整手段55aと熱伝達ガス供給手段55bとを能動化することによって、載置台4上に保持された被処理体Wの処理面温度を所望の値に設定することができる。温度調整手段55aは、冷媒を冷却ジャケットを介して循環させるための導入管56および排出管57を有し、適当な温度に調整された冷媒が、導入管56によって冷却ジャケット内に供給され、熱交換後の冷媒が、排出管57によって外部に排出される。   Further, inside the mounting table 4, there are provided a temperature adjusting means 55 a such as a cooling jacket and a heat transfer gas supplying means 55 b for supplying, for example, He gas to the back surface of the processing object W. By activating the heat transfer gas supply unit 55b and the heat transfer gas supply unit 55b, the processing surface temperature of the processing target W held on the mounting table 4 can be set to a desired value. The temperature adjusting means 55a has an inlet pipe 56 and a discharge pipe 57 for circulating the refrigerant through the cooling jacket, and the refrigerant adjusted to an appropriate temperature is supplied into the cooling jacket by the inlet pipe 56, The exchanged refrigerant is discharged to the outside by the discharge pipe 57.

載置台4と真空チャンバ2との間であり、載置台4表面よりも下側には、複数の排気孔が穿設されたリング状の排気プレート44が、載置台4を囲むように配置される。この排気プレート44により、排気流の流れが整えられるとともに、載置台4とガスシャワーヘッド3との間にプラズマが最適に閉じ込められる。さらに、載置台4の内部には、外部の図示しない搬送アームとの間で被処理体Wの受け渡しを行うための昇降部材である昇降ピン51が複数たとえば3本(2本のみ図示)突没自在に設けられ、この昇降ピン51は連結部材52を介して駆動機構53により昇降できるように構成されている。54は昇降ピン51の貫通孔と大気側との間の気密を保持するベローズである。   A ring-shaped exhaust plate 44 having a plurality of exhaust holes is disposed between the mounting table 4 and the vacuum chamber 2 and below the surface of the mounting table 4 so as to surround the mounting table 4. You. The exhaust plate 44 regulates the flow of the exhaust flow and optimally confines the plasma between the mounting table 4 and the gas shower head 3. Further, inside the mounting table 4, a plurality of, for example, three lifting pins 51 (only two are illustrated) are lifted and lowered by a lifting member for transferring the workpiece W to and from a transfer arm (not shown) outside. The lifting pin 51 is provided freely, and is configured to be able to be raised and lowered by a driving mechanism 53 via a connecting member 52. Reference numeral 54 denotes a bellows for maintaining airtightness between the through hole of the elevating pin 51 and the atmosphere side.

このようなプラズマエッチング処理装置においては、まず、ゲートバルブGおよび開口部23を介して被処理体Wを真空チャンバ2内に搬入し、静電チャック42上に載置し、ゲートバルブGを閉じた後、真空排気手段21により排気管22を介して真空チャンバ2内を所定の真空度に排気する。そして、真空チャンバ2内にプロセスガスを供給するとともに、直流電源47からチャック電極46に直流電圧を印加して、被処理体Wを静電チャック42によって静電吸着させ、この状態で高周波電源40から載置台4の本体部41に所定周波数の高周波電力を印加し、これにより、ガスシャワーヘッド3と載置台4との間に高周波電界を発生させ、プロセスガスをプラズマ化して、静電チャック42上の被処理体Wにエッチング処理を施す。   In such a plasma etching apparatus, first, the workpiece W is loaded into the vacuum chamber 2 through the gate valve G and the opening 23, placed on the electrostatic chuck 42, and the gate valve G is closed. After that, the inside of the vacuum chamber 2 is evacuated to a predetermined degree of vacuum through the exhaust pipe 22 by the vacuum exhaust means 21. Then, a process gas is supplied into the vacuum chamber 2, and a DC voltage is applied from the DC power supply 47 to the chuck electrode 46 to cause the workpiece W to be electrostatically adsorbed by the electrostatic chuck 42. A high-frequency electric power of a predetermined frequency is applied to the main body 41 of the mounting table 4 from this, thereby generating a high-frequency electric field between the gas shower head 3 and the mounting table 4, turning the process gas into plasma, and The upper workpiece W is subjected to an etching process.

プロセスガスとしてはCやNFのような弗化物、BClやSnClなどの塩化物、HBrの如き臭化物をはじめとするハロゲン元素を含むガスを使用する。このため、真空チャンバ2内は極めて強い腐食環境となり、例えば、デポシールド2a、排気プレート44、フォーカスリング43、シャワーヘッド3、載置台4、静電チャック42、さらには真空チャンバ2の内壁材などの真空チャンバ2内の部材すなわちプラズマ処理容器内部材には、耐プラズマ性が強く要求される。 As the process gas, a gas containing a halogen element such as a fluoride such as C 4 F 8 or NF 3 , a chloride such as BCl 3 or SnCl 4, or a bromide such as HBr is used. For this reason, the inside of the vacuum chamber 2 becomes an extremely strong corrosive environment. For example, the deposition shield 2a, the exhaust plate 44, the focus ring 43, the shower head 3, the mounting table 4, the electrostatic chuck 42, and the inner wall material of the vacuum chamber 2 The members inside the vacuum chamber 2, that is, the members inside the plasma processing vessel, are required to have high plasma resistance.

以下、本発明の対象である処理容器内部材について詳細に説明する。
(1)第1の実施形態
このような処理容器内部材として基材の上に溶射被膜を形成したものを用いた場合、従来、溶射被膜の剥がれが生じていたが、本発明者らの検討結果によれば、プラズマ処理容器内部材の溶射被膜の剥がれは、溶射被膜の貫通気孔(微細孔)、溶射被膜との境界部、あるいは、プラズマやガス等により損傷した部位などから、プロセスガスや洗浄液が侵入し基材に到達して、基材表面が腐食することにより発生することに想到した。
Hereinafter, the member inside the processing container which is the object of the present invention will be described in detail.
(1) First Embodiment In the case where a member having a sprayed coating formed on a base material is used as such a processing container inner member, the sprayed coating has conventionally been peeled off. According to the results, peeling of the sprayed coating of the inner member of the plasma processing container may be caused by the process gas or the like from the through-holes (micropores) of the sprayed coating, the boundary with the sprayed coating, or the part damaged by plasma or gas. The inventor has conceived that the cleaning liquid invades and reaches the base material, and is generated by corrosion of the base material surface.

すなわち、フッ化物を含むプロセスガスを用いてプラズマ処理を施した処理容器内の部材を準備し、溶射被膜との境界面(基材表面)を分析すると、その部分においてF(フッ素)を確認することができ、このことから、このFが水分(OH)と反応してHF化することによって、基材表面が腐食変化(腐食生成物が発生)して、溶射被膜の剥離に至ったものと推測される。   That is, when a member in a processing container subjected to plasma processing using a process gas containing a fluoride is prepared, and a boundary surface (substrate surface) with the thermal spray coating is analyzed, F (fluorine) is confirmed in that portion. From this, it can be said that F reacts with water (OH) to form HF, thereby causing the substrate surface to undergo a corrosion change (corrosion products are generated), leading to peeling of the thermal spray coating. Guessed.

したがって、溶射被膜との境界面すなわち基材表面が、プロセスガスまたは洗浄液に曝されないことが重要である。   Therefore, it is important that the interface with the thermal spray coating, that is, the substrate surface, is not exposed to the process gas or the cleaning liquid.

このような知見に基づき、第1の実施形態では、図1におけるデポシールド2a、排気プレート44、フォーカスリング43、シャワーヘッド3、載置台4、静電チャック42、さらには真空チャンバ2の内壁材などの真空チャンバ2内の部材すなわちプラズマ処理容器内部材において、溶射被膜の表面から基材までのいずれかの位置に、プロセスガスまたは洗浄液に曝されても腐食され難く、ガスまたは洗浄液が基材表面に到達することを防止することができる、バリア機能を有する部分を形成するようにした。   Based on such findings, in the first embodiment, the deposition shield 2a, the exhaust plate 44, the focus ring 43, the shower head 3, the mounting table 4, the electrostatic chuck 42, and the inner wall material of the vacuum chamber 2 in FIG. In a member in the vacuum chamber 2 such as a member inside the plasma processing container, the member is hardly corroded even when exposed to a process gas or a cleaning liquid at any position from the surface of the sprayed coating to the substrate. A portion having a barrier function that can be prevented from reaching the surface is formed.

このような耐腐食性に優れる材料によりバリア機能を有する部分を形成することによって、溶射被膜の貫通気孔(微細孔)を通って侵入するガスまたは洗浄液に対して、基材の表面を保護することが可能である。また、バリア機能を有する部分を基材と接するようにすれば、その材料として高い密着性を有するものを選択することによって、バリア機能を有する部分と基材の表面との境界面からのプロセスガスまたは洗浄液の侵入に対して基材表面を保護することが可能である。   By forming a portion having a barrier function with such a material having excellent corrosion resistance, the surface of the base material is protected against a gas or a cleaning liquid that enters through through pores (micropores) of the thermal spray coating. Is possible. Further, if the portion having the barrier function is brought into contact with the base material, by selecting a material having high adhesion as the material, the process gas from the interface between the portion having the barrier function and the surface of the base material is selected. Alternatively, it is possible to protect the surface of the base material from entering the cleaning liquid.

以下、第1の実施形態における具体的な構成について詳述する。
まず、第1の例に係るプラズマ処理容器内部材は、図2に示すように、基本的に、基材71と、その表面に形成された被膜72とからなる。被膜72は、溶射によって形成された主層73と、基材71と主層との間のプロセスガスまたは洗浄液に曝されても腐食し難いバリア機能を有するバリアコート層74とを有している。
Hereinafter, a specific configuration in the first embodiment will be described in detail.
First, as shown in FIG. 2, the member in the plasma processing container according to the first example basically includes a base material 71 and a coating 72 formed on the surface thereof. The coating 72 has a main layer 73 formed by thermal spraying, and a barrier coat layer 74 having a barrier function that is hardly corroded even when exposed to a process gas or a cleaning liquid between the base 71 and the main layer. .

上記被膜72の施工対象となる基材71としては、ステンレス鋼(SUS)を含む各種の鋼、AlおよびAl合金、WおよびW合金、TiおよびTi合金、MoおよびMo合金、炭素ならびに酸化物系、非酸化物系セラミックス焼結体、および炭素質材料などが好適に用いられる。   The base material 71 on which the coating film 72 is applied includes various steels including stainless steel (SUS), Al and Al alloys, W and W alloys, Ti and Ti alloys, Mo and Mo alloys, carbon and oxide-based materials. , A non-oxide ceramic sintered body, and a carbonaceous material are preferably used.

バリアコート層74の材質としては、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスであることが好ましく、より具体的には、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスが好適である。例えばトーカロ株式会社製の「CDC−ZAC」、「スーパーZAC」などを適用することができる。「CDC−ZAC」は、Crを主成分とする複合セラミックスであり、無気孔、高硬度、高密着力などの性質を有している。一方、「スーパーZAC」は、SiOとCrを主成分とする複合セラミックスであり、無気孔、高硬度、高密着力に加え、耐熱性と耐摩耗性に優れる。このバリアコート層74は溶射法により形成することが好ましい。溶射法は、燃焼ガス、電気等の熱源により溶融した原料を母材に吹き付け皮膜を形成する方法である。また、バリアコート層74は、PVD法やCVD法等の薄膜形成技術、浸漬法、あるいは塗布法などの方法で形成することもできる。PVD法とは、イオンプレーティング法により、各種セラミック膜を低温でコーティングする方法であり、一方、CVD法は、熱化学的蒸着法により、高温度で単層または多層コーティングする方法である。また、浸漬法は、各種材料を樹脂溶液に浸漬した後、熱処理を施す方法であり、塗布法は、各種材料に樹脂溶液を塗布後、所定温度で熱処理する方法である。バリアコート層74の厚さは50〜100μmであることが好ましい。 The material of the barrier coat layer 74 is preferably a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. More specifically, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , At least one ceramic selected from the group consisting of CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3 is suitable. For example, “CDC-ZAC” or “Super ZAC” manufactured by Tokaro Corporation can be applied. “CDC-ZAC” is a composite ceramic containing Cr 2 O 3 as a main component, and has properties such as porelessness, high hardness, and high adhesion. On the other hand, “Super ZAC” is a composite ceramic containing SiO 2 and Cr 2 O 3 as main components, and is excellent in heat resistance and abrasion resistance in addition to non-porosity, high hardness and high adhesion. This barrier coat layer 74 is preferably formed by a thermal spraying method. The thermal spraying method is a method in which a raw material melted by a heat source such as combustion gas or electricity is sprayed on a base material to form a coating. Further, the barrier coat layer 74 can also be formed by a thin film forming technique such as a PVD method or a CVD method, a dipping method, or a coating method. The PVD method is a method of coating various ceramic films at a low temperature by an ion plating method, while the CVD method is a method of coating a single layer or a multilayer at a high temperature by a thermochemical vapor deposition method. The immersion method is a method in which various materials are immersed in a resin solution and then heat-treated. The coating method is a method in which a resin solution is applied to various materials and heat-treated at a predetermined temperature. The thickness of the barrier coat layer 74 is preferably 50 to 100 μm.

この場合に、バリアコート層74の少なくとも一部分、例えば基材71との接合面側または全体に、樹脂を用いた封孔処理を施すのがよい。その際の樹脂としては、SI、PTFE、PI、PAI、PEI、PBI、PFAの群から選択されたものが好ましい。すなわち、セラミックスからなるバリアコート層74を上述した溶射法等で形成する場合、貫通気孔(微細孔)を有する多孔質で構成されるが、その多孔質層の少なくとも一部分の微細孔を、樹脂で封孔することにより、溶射被膜である主層73の微細孔を通って侵入するガスまたは洗浄液を阻止する効果が高まり、基材71を有効に保護することができる。   In this case, at least a part of the barrier coat layer 74, for example, a bonding surface side with the base material 71 or the entirety thereof is preferably subjected to a sealing treatment using a resin. As the resin at that time, a resin selected from the group of SI, PTFE, PI, PAI, PEI, PBI, and PFA is preferable. That is, when the barrier coat layer 74 made of ceramic is formed by the above-described thermal spraying method or the like, the barrier coat layer 74 is formed of a porous material having through-holes (micropores), and at least a part of the micropores of the porous layer is formed of resin. By sealing, the effect of blocking gas or cleaning liquid entering through the fine holes of the main layer 73, which is a thermal spray coating, is enhanced, and the base material 71 can be effectively protected.

なお、SIはシリコーン、PTFEはポリテトラフルオロエチレン、PIはポリイミド、PAIはポリアミドイミド、PEIはポリエーテルイミド、PBIはポリベンゾイミダゾール、PFAはパーフルオロアルコキシアルカンを意味する。   SI is silicone, PTFE is polytetrafluoroethylene, PI is polyimide, PAI is polyamideimide, PEI is polyetherimide, PBI is polybenzimidazole, and PFA is perfluoroalkoxyalkane.

封孔処理は、ゾルゲル法で行うこともできる。ゾルゲル法による封孔処理は、セラミックスを有機溶剤に分散させたゾル(コロイド溶液)で封孔した後、加熱によるゲル化させることにより行う。これにより、セラミックスによる封孔が実現され、バリア効果を向上させることができる。この場合の封孔処理は、周期律表第3a族に属する元素から選択されたものを用いることが好ましい。その中でも、耐食性の高いYが好ましい。 The sealing treatment can be performed by a sol-gel method. The sealing treatment by the sol-gel method is performed by sealing with a sol (colloid solution) in which ceramics is dispersed in an organic solvent and then gelling by heating. Thereby, sealing with ceramics is realized, and the barrier effect can be improved. In this case, it is preferable to use a material selected from elements belonging to Group 3a of the periodic table for the sealing treatment. Among them, Y 2 O 3 having high corrosion resistance is preferable.

また、バリアコート層74の他の材質としては、エンジニアリングプラスチックを好適に用いることができる。具体的には、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択された樹脂であることが好ましく、たとえばデュポン株式会社製の「テフロン(登録商標)」(PTFE)などを適用することができる。これらの樹脂は、密着性に優れるうえ、耐薬品性に優れ、クリーニング時の洗浄液にも充分に耐え得る。   Further, as another material of the barrier coat layer 74, engineering plastic can be suitably used. Specifically, it is preferably a resin selected from the group of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM, such as "Teflon (registered trademark)" (PTFE) manufactured by DuPont. Can be applied. These resins have excellent adhesiveness, are excellent in chemical resistance, and can sufficiently withstand a cleaning liquid at the time of cleaning.

なお、PTFEはポリテトラフルオロエチレン、PIはポリイミド、PAIはポリアミドイミド、PEIはポリエーテルイミド、PBIはポリベンゾイミダゾール、PFAはパーフルオロアルコキシアルカン、PPSはポリフェニレンサルファイド、POMはポリアセタールを意味する。   In addition, PTFE means polytetrafluoroethylene, PI means polyimide, PAI means polyamideimide, PEI means polyetherimide, PBI means polybenzimidazole, PFA means perfluoroalkoxyalkane, PPS means polyphenylene sulfide, and POM means polyacetal.

さらに、基材71とバリアコート層74との間に図3に示すように陽極酸化被膜75を形成するようにしてもよい。この場合において、蓚酸、クロム酸、リン酸、硝酸、ギ酸、またはスルホン酸などの有機酸による陽極酸化被膜を形成することによって、硫酸による陽極酸化処理の場合と比べ耐腐食性に優れる酸化被膜を形成して、プロセスガスや洗浄液による腐食をより一層抑制することができ、好ましい。陽極酸化被膜75の膜厚は、10〜200μmであることが好ましい。   Further, an anodic oxide film 75 may be formed between the base material 71 and the barrier coat layer 74 as shown in FIG. In this case, by forming an anodic oxide film with an organic acid such as oxalic acid, chromic acid, phosphoric acid, nitric acid, formic acid, or sulfonic acid, an oxide film having excellent corrosion resistance compared to the case of anodizing treatment with sulfuric acid is formed. The formation is preferable because corrosion by a process gas or a cleaning liquid can be further suppressed. The thickness of the anodic oxide coating 75 is preferably 10 to 200 μm.

このように、基材71とバリアコート層74との間に陽極酸化被膜75を形成する場合、陽極酸化被膜75の微細孔を封孔することによって、耐食性を格段に向上させることができる。この場合に、Niなどの金属塩を含む熱水に材料を浸漬し、酸化被膜の微細孔において、金属塩水溶液が加水分解して、水酸化物が沈殿することによって封孔する、金属塩封孔などを適用することができる。   As described above, when the anodic oxide film 75 is formed between the base material 71 and the barrier coat layer 74, the corrosion resistance can be remarkably improved by sealing the fine holes of the anodic oxide film 75. In this case, the material is immersed in hot water containing a metal salt such as Ni, and the metal salt aqueous solution is hydrolyzed in the fine pores of the oxide film and the hydroxide is precipitated, whereby the metal salt is sealed. Holes and the like can be applied.

また、陽極酸化被膜75の微細孔を樹脂により封孔処理しても同様の効果を期待することができる。この場合の樹脂としては、上述したSI、PTFE、PI、PAI、PEI、PBI、PFAの群から選択されたものが好ましい。   Similar effects can be expected even if the micropores of the anodic oxide coating 75 are sealed with a resin. As the resin in this case, a resin selected from the above-mentioned group of SI, PTFE, PI, PAI, PEI, PBI, and PFA is preferable.

また、基材71の表面に形成する陽極酸化被膜75として、多孔質セラミックス層を有する陽極酸化被膜(KEPLA−COAT:登録商標)を用いてもよい。   Further, as the anodic oxide film 75 formed on the surface of the base material 71, an anodic oxide film having a porous ceramic layer (KEPLA-COAT: registered trademark) may be used.

なお、この陽極酸化被膜(KEPLA−COAT)は、陽極として基材をアルカリ系有機電解液に浸漬し、酸素プラズマをこのアルカリ系有機電解液の中で放電することにより形成するものである。   The anodic oxide film (KEPLA-COAT) is formed by immersing the base material as an anode in an alkaline organic electrolyte and discharging oxygen plasma in the alkaline organic electrolyte.

溶射被膜である主層73は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むことが好ましく、具体的には、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdから選択された少なくとも1種のセラミックスが好適である。この場合において、主層73の膜厚は、10μm〜500μmであることが好ましい。 The main layer 73, which is a thermal spray coating, preferably contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. Include B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce At least one ceramic selected from 2 O 3 , CeF 3 and Nd 2 O 3 is preferred. In this case, the main layer 73 preferably has a thickness of 10 μm to 500 μm.

このような構造のプラズマ処理容器内部材を製造するに際しては、まず、基材71の表面に、Al、SiCまたは砂等の粒子を吹き付けるブラスト処理を施し、微視的に表面が凹凸形状となるようにして、その上に形成するバリアコート層74や陽極酸化被膜75との密着性を高めるのがよい。また、表面を凹凸にする手法として、上記のブラスト処理に限定されず、たとえば、所定の薬液に浸すことによって表面をエッチングするようにしてもよい。 When manufacturing the inner member of the plasma processing container having such a structure, first, the surface of the base material 71 is subjected to a blast process of spraying particles such as Al 2 O 3 , SiC or sand, and the surface is microscopically uneven. It is preferable to improve the adhesion to the barrier coat layer 74 and the anodic oxide film 75 to be formed thereon. Further, the method for making the surface uneven is not limited to the above blast treatment, and for example, the surface may be etched by being immersed in a predetermined chemical solution.

次に、基材71に直接または陽極酸化被膜75を介して、上述のバリアコート層74を、溶射法等、上記適宜の方法で形成する。必要に応じて上述したような封孔処理を行う。封孔処理に際しては、上記の樹脂やセラミックスのゾルをバリアコート層74の表面に塗布するか、あるいは、バリアコート層74をともなった基材71を樹脂封孔剤またはセラミックスのゾル中に浸漬させる。セラミックスのゾルで封孔した場合には、その後加熱してゲル化させる。   Next, the above-described barrier coat layer 74 is formed on the substrate 71 directly or via the anodic oxide film 75 by an appropriate method such as a thermal spraying method. If necessary, the above-described sealing treatment is performed. In the sealing treatment, the above resin or ceramic sol is applied to the surface of the barrier coat layer 74, or the base material 71 with the barrier coat layer 74 is immersed in a resin sealing agent or ceramic sol. . When the pores are sealed with a ceramic sol, they are then heated and gelled.

バリアコート層74を形成した後、引き続き、その上に、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスからなる溶射被膜である主層73を形成する。また、バリアコート層74は密着性に優れるものが選択されるが、主層73との密着性をより一層良くするため、バリアコート層74の表面にブラスト処理などを施すようにしてもよい。 After forming the barrier coat layer 74, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , and YF are successively formed thereon. 3 , a main layer 73 which is a thermal spray coating made of at least one ceramic selected from the group consisting of ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3 . The barrier coat layer 74 is selected from those having excellent adhesion. However, in order to further improve the adhesion with the main layer 73, the surface of the barrier coat layer 74 may be subjected to blast treatment or the like.

以上のように、この例では、ハロゲン元素を含むプロセスガスまたは洗浄液に対して耐腐食性に優れる材料からなるバリアコート層74を、溶射被膜である主層73と基材71との間に形成し、基材71の表面が、プロセスガス(ハロゲン元素)または洗浄液に曝されないように構成したので、基材71の表面に腐食生成物が発生することによって、基材71上の溶射被膜72が剥がれるといった問題を解消することができる。   As described above, in this example, the barrier coat layer 74 made of a material having excellent corrosion resistance to a process gas or a cleaning liquid containing a halogen element is formed between the main layer 73 which is a thermal spray coating and the base material 71. Since the surface of the substrate 71 is configured not to be exposed to the process gas (halogen element) or the cleaning solution, corrosion products are generated on the surface of the substrate 71, so that the thermal spray coating 72 on the substrate 71 is formed. The problem of peeling can be solved.

次に、第2の例について説明する。
第2の例では、図4の(a)、(b)、(c)に示すように、基材71の表面に、セラミックスの溶射により被膜76を形成し、被膜76の少なくとも一部分に封孔処理部76aを形成するようにしている。図4の(a)の例では、被膜76の基材71側に封孔処理部76aを形成しており、図4の(b)の例では、被膜76の表面側に封孔処理部76aを形成しており、図4(c)の例では、被膜76の全体を封孔処理部76aとしている。
Next, a second example will be described.
In the second example, as shown in FIGS. 4A, 4B, and 4C, a coating 76 is formed on the surface of the substrate 71 by spraying ceramics, and at least a portion of the coating 76 is sealed. The processing section 76a is formed. In the example of FIG. 4A, the sealing portion 76a is formed on the base material 71 side of the coating 76. In the example of FIG. 4B, the sealing portion 76a is formed on the surface side of the coating 76. In the example of FIG. 4C, the entire coating 76 is used as the sealing portion 76a.

被膜76は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものであり、具体的には、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスが好適である。この場合において、被膜76の膜厚は、50〜300μmであることが好ましい。なお、基材71としては、第1の例と全く同じものを使用することができる。 Coating 76, B, is intended to include the Mg, Al, Si, Ca, Cr, Y, Zr, Ta, at least one element selected from the group consisting of Ce and Nd, specifically, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , CeF At least one ceramic selected from the group consisting of 3 and Nd 2 O 3 is preferred. In this case, the thickness of the coating 76 is preferably 50 to 300 μm. Note that the same material as in the first example can be used as the base material 71.

封孔処理部76aは、上述した第1の例のバリア層74に施したものと全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部76aを設けることにより、溶射被膜である被膜76の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材71を十分に保護することができる。この封孔処理部76aは、このように基材71へのガスまたは洗浄液の到達を阻止するためのものであるから、上記図4の(a)〜(c)のいずれでもその効果を発揮することができる。ただし、図4の(a)に示すように、被膜76の基材71側に封孔処理部76aを形成するのが望ましい。すなわち、溶射被膜に封孔処理を施した処理容器内部材を、高真空領域(例えば、13.3Pa)で高周波電力を印加してなるプラズマ雰囲気で用いると、封孔剤中の希釈有機溶媒(例えば、酢酸エチル)が蒸発したり、プラズマやプロセスガスなどによって封孔剤が腐食するなどして、溶射被膜中に再び気孔(微細孔)が形成されることがある。この気孔によって、処理容器内部剤の表面状態(温度や生成物の付着状態など)が経時的に変化して、処理容器内のプロセスに悪影響を及ぼす可能性がある。したがって、図4の(a)のように、被膜76の表面側に封孔処理を施さないようにすれば、被膜76の表面改質を抑制してプロセスを安定的に実施することができる。なお、封孔処理部76aは、上記図4の(a)〜(c)に示した位置に限らず、例えば、被膜76の中間位置に形成してもよい。封孔処理部76aの厚さは、50〜100μmであることが好ましい。   The sealing portion 76a can be formed by completely the same resin sealing or sol-gel sealing as that performed on the barrier layer 74 of the first example described above. By providing the sealing portion 76a in this manner, gas or a cleaning liquid that enters through the fine holes of the coating 76 that is a thermal spray coating can be effectively prevented, and the base material 71 can be sufficiently protected. it can. Since the sealing section 76a is for preventing the gas or the cleaning liquid from reaching the base material 71 as described above, the effect is exhibited in any of (a) to (c) of FIG. be able to. However, as shown in FIG. 4A, it is desirable to form a sealing portion 76a on the base material 71 side of the coating film 76. That is, when a member in a processing vessel in which a thermal spray coating is subjected to sealing treatment is used in a plasma atmosphere in which high-frequency power is applied in a high vacuum region (for example, 13.3 Pa), the diluted organic solvent in the sealing agent ( For example, pores (micropores) may be formed again in the thermal spray coating due to evaporation of the ethyl acetate) or corrosion of the sealing agent by plasma or process gas. The pores may change the surface state (temperature, adhesion state of products, and the like) of the agent inside the processing container over time, which may adversely affect processes in the processing container. Therefore, if the sealing treatment is not performed on the surface side of the coating 76 as shown in FIG. 4A, the surface modification of the coating 76 can be suppressed and the process can be stably performed. The sealing section 76a is not limited to the positions shown in FIGS. 4A to 4C, but may be formed at an intermediate position of the coating 76, for example. The thickness of the sealing portion 76a is preferably 50 to 100 μm.

この例においても、図5に示すように、基材71と被膜76との間に、上述した第1の例と全く同様の陽極酸化被膜75を形成するようにしてもよい。また、この場合にも、この陽極酸化被膜75を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   Also in this example, as shown in FIG. 5, an anodic oxide film 75 exactly the same as in the first example described above may be formed between the base material 71 and the film 76. Also in this case, it is preferable that the anodic oxide film 75 is sealed. As the sealing process, the same metal salt sealing as described above can be applied.

次に、第3の例について説明する。
第3の例では、図6の(a)、(b)に示すように、基材71の表面に、セラミックスの溶射により被膜77を形成し、被膜77を、第1のセラミックス層78と第2のセラミックス層79の2層構造とし、その少なくとも一方の少なくとも一部分に封孔処理部を形成するようにしている。図6の(a)の例では、表面側の第1のセラミックス層78に封孔処理部78aを形成しており、図6の(b)では、基材71側の第2のセラミックス層79に封孔処理部79aを形成している。
Next, a third example will be described.
In the third example, as shown in FIGS. 6A and 6B, a coating 77 is formed on the surface of the base material 71 by spraying ceramics, and the coating 77 is formed on the first ceramic layer 78 and the first ceramic layer 78. The two ceramic layers 79 have a two-layer structure, and a sealing portion is formed in at least a part of at least one of the two ceramic layers. In the example of FIG. 6A, the sealing portion 78a is formed in the first ceramic layer 78 on the front side, and in FIG. 6B, the second ceramic layer 79 on the base material 71 side is shown. A sealing processing part 79a is formed.

被膜77を構成する第1のセラミックス層78および第2のセラミックス層79は、いずれもB、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものであり、具体的には、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスが好適である。この場合において、被膜77の膜厚は、50〜300μmであることが好ましい。なお、基材71としては、第1の例と全く同じものを使用することができる。 Each of the first ceramic layer 78 and the second ceramic layer 79 constituting the coating 77 is selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. It contains at least one element, specifically, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , At least one ceramic selected from the group consisting of YF 3 , ZrO 2 , TaO 2 , CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3 is suitable. In this case, the thickness of the coating 77 is preferably 50 to 300 μm. Note that the same material as in the first example can be used as the base material 71.

封孔処理部78a,79aは、上述した第1の例のバリアコート層74に施したものと全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部78a,79aを設けることにより、溶射被膜である第1および第2のセラミックス層78,79の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材71を十分に保護することができる。この封孔処理部78a,79aは、このように基材71へのガスまたは洗浄液の到達を阻止するためのものであるから、その機能を発揮できる限りこれら封孔処理部78a、79aの位置は限定されず、また層全体を封孔処理部としてもよい。また、第1および第2のセラミックス層78,79の両方に封孔処理部を形成してもよい。封孔処理部78a,79aの厚さは50〜100μmであることが好ましい。   The sealing portions 78a and 79a can be formed by completely the same resin sealing or sol-gel sealing as that applied to the barrier coat layer 74 of the first example described above. By providing the sealing portions 78a and 79a in this manner, it is possible to effectively prevent gas or cleaning liquid that enters through the fine holes of the first and second ceramic layers 78 and 79, which are the thermal spray coating. In addition, the base material 71 can be sufficiently protected. Since the sealing portions 78a and 79a are for preventing the gas or the cleaning liquid from reaching the base material 71 as described above, the positions of the sealing portions 78a and 79a are as long as their functions can be exhibited. It is not limited, and the entire layer may be used as a sealing treatment section. In addition, a sealing portion may be formed on both the first and second ceramic layers 78 and 79. The thickness of the sealing portions 78a and 79a is preferably 50 to 100 μm.

このように、基材71上に形成する被膜77を2層構造にすることにより、要求される耐食性およびバリア性に応じて、これら2層の材料を適宜設定することができ、所望の位置に封孔処理を施すことにより、極めて自由度の高い適用が可能となる。例えば、表面側の第1のセラミックス層78としてYを用い、基材71側の第2のセラミックス層79としてYFまたはAlを用い、第2のセラミックス層79の少なくとも一部に封孔処理を施せば、耐食性およびバリア性を極めて高いものとすることができる。 Thus, by forming the coating 77 formed on the base material 71 into a two-layer structure, the materials of these two layers can be appropriately set in accordance with the required corrosion resistance and barrier properties, and can be formed at desired positions. By applying the sealing treatment, an extremely flexible application is possible. For example, Y 2 O 3 is used as the first ceramic layer 78 on the front surface side, YF 3 or Al 2 O 3 is used as the second ceramic layer 79 on the base material 71 side, and at least one of the second ceramic layers 79 is used. If the part is subjected to a sealing treatment, the corrosion resistance and the barrier property can be made extremely high.

この例においても、図7に示すように、基材71と被膜77との間に、上述した第1の例と全く同様の陽極酸化被膜75を形成するようにしてもよい。また、この場合にも、この陽極酸化被膜75を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   Also in this example, as shown in FIG. 7, an anodic oxide film 75 exactly the same as in the first example described above may be formed between the base material 71 and the film 77. Also in this case, it is preferable that the anodic oxide film 75 is sealed. As the sealing process, the same metal salt sealing as described above can be applied.

本実施形態の効果を確認するため、Al合金の基材上にYの溶射被膜を形成した試料1と、Al合金の基材上に樹脂(PTFE)のバリアコート層を介してYの溶射被膜を形成した試料2と、Al合金の基材上にYの溶射被膜を形成してその一部分を樹脂により封孔処理した試料3とをそれぞれ準備し、これら試料1〜3の表面にフッ酸(HF)溶液を滴下して、プラズマ環境下に置いたときの溶射被膜の表面状態を比較した。より具体的に説明すると、各試料表面に、38%濃度のフッ酸溶液を10μL滴下し、50℃で3時間加熱した後、試料をCF系ガスのプラズマ雰囲気に3分間放置した。その結果、溶射被膜の剥がれ対策が施されていない試料1は、表面全体にクラックが発生したのに対し、基材と溶射被膜との間にバリアコート層を形成した試料2と、溶射被膜の一部分を樹脂により封孔処理した試料3は、いずれもクラックが発生しておらず、フッ酸溶液の侵入を防いで、基材表面が保護されていることがわかった。 In order to confirm the effect of the present embodiment, Sample 1 in which a thermal sprayed coating of Y 2 O 3 was formed on an Al alloy substrate, and Y on a Al alloy substrate via a resin (PTFE) barrier coat layer. Sample 2 on which a thermal spray coating of 2 O 3 was formed and Sample 3 on which a thermal spray coating of Y 2 O 3 was formed on an Al alloy base material and a part thereof was sealed with a resin were prepared. The hydrofluoric acid (HF) solution was dropped on the surfaces of Nos. 1 to 3, and the surface states of the sprayed coatings when placed in a plasma environment were compared. More specifically, 10 μL of a 38% hydrofluoric acid solution was dropped on the surface of each sample, heated at 50 ° C. for 3 hours, and then left for 3 minutes in a CF-based gas plasma atmosphere. As a result, in Sample 1 in which no measures were taken against peeling of the thermal spray coating, cracks occurred on the entire surface, whereas Sample 2 in which a barrier coat layer was formed between the base material and the thermal spray coating, Sample 3 in which a part was sealed with a resin did not have any cracks, indicating that the intrusion of the hydrofluoric acid solution was prevented and the surface of the base material was protected.

(2)第2の実施形態
プラズマ処理容器の壁材や他のプラズマ処理容器内部材にAlやYを用いる場合には、空気中の水分との反応性が高いため、処理容器である真空チャンバを大気開放したときや真空チャンバをウェットクリーニングするときに水分を大量に取り込み、種々の問題を生じるが、本発明者らの検討結果によれば、Y等の周期律表第3a族に属する元素を含むセラミックスに水化処理を施すことにより、またはこれら元素を含む水酸化物を形成することにより、このような不都合が解消されることを知見した。
(2) Second Embodiment In the case where Al 2 O 3 or Y 2 O 3 is used for the wall material of the plasma processing container or other members inside the plasma processing container, the reactivity with the moisture in the air is high. When the vacuum chamber, which is a processing container, is opened to the atmosphere or when the vacuum chamber is wet-cleaned, a large amount of water is taken in, causing various problems. According to the results of studies by the present inventors, Y 2 O 3 It has been found that such inconvenience is solved by subjecting a ceramic containing an element belonging to Group 3a of the periodic table to hydration treatment or forming a hydroxide containing these elements.

このような知見に基づき、第2の実施形態では、図1におけるデポシールド2a、排気プレート44、フォーカスリング43、シャワーヘッド3、載置台4、静電チャック42、さらには真空チャンバ2の内壁材などの真空チャンバ2内の部材すなわちプラズマ処理容器内部材において、周期律表第3a族に属する元素を含むセラミックスに水化処理を施した部分を形成する、あるいは、少なくともその一部分をその元素を含む水酸化物にするようにした。   Based on such knowledge, in the second embodiment, the deposit shield 2a, the exhaust plate 44, the focus ring 43, the shower head 3, the mounting table 4, the electrostatic chuck 42, and the inner wall material of the vacuum chamber 2 in FIG. In a member in the vacuum chamber 2, such as a member in the plasma processing vessel, a portion obtained by subjecting a ceramic containing an element belonging to Group 3a of the periodic table to a hydration treatment is formed, or at least a part of the portion includes the element. A hydroxide was used.

このようにすることにより、水分を吸着しにくく、脱離しにくい構造とすることができるので、プラズマ処理時における水分の離脱が生じ難いプラズマ処理容器内部材を得ることができる。   By doing so, it is possible to obtain a structure in which moisture is hardly adsorbed and hardly desorbed, so that it is possible to obtain a member in the plasma processing vessel in which water is hardly desorbed during the plasma processing.

まず、第1の例では、図8に示すように基材81の上に、周期律表第3a族に属する元素を含むセラミックスからなる被膜82を形成し、例えば、少なくともその表面部分に水化処理部82aを形成する。   First, in the first example, as shown in FIG. 8, a film 82 made of a ceramic containing an element belonging to Group 3a of the periodic table is formed on a base material 81, and for example, hydration is performed on at least the surface portion thereof. The processing section 82a is formed.

基材81としては、上記基材71と同様、ステンレス鋼(SUS)を含む各種の鋼、AlおよびAl合金、WおよびW合金、TiおよびTi合金、MoおよびMo合金、炭素ならびに酸化物系、非酸化物系セラミックス焼結体、および炭素質材料などが好適に用いられる。   As the base material 81, similarly to the base material 71, various steels including stainless steel (SUS), Al and Al alloys, W and W alloys, Ti and Ti alloys, Mo and Mo alloys, carbon and oxide-based materials, A non-oxide ceramic sintered body, a carbonaceous material, and the like are preferably used.

被膜82は、周期律表第3a族に属する元素を含むセラミックスで構成されていればよいが、周期律表第3a族に属する元素を含む酸化物であることが好ましい。また、これらの中ではY、CeO、Ce、Ndが好適であり、その中でも、従来から多用され、高い耐食性を有することから、Yが特に好ましい。 The coating 82 may be made of a ceramic containing an element belonging to Group 3a of the periodic table, but is preferably an oxide containing an element belonging to Group 3a of the periodic table. Among these, Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 are preferable, and among them, Y 2 O 3 is particularly preferable because it has been widely used and has high corrosion resistance. .

この被膜82は、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。   This film 82 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method or a CVD method. In addition, it can also be formed by a method such as a dipping method or a coating method.

水化処理部82aは、例えば、被膜82を水蒸気または高温の水と反応させることにより水化反応を生じさせることにより形成することができる。セラミックスとしてYを用いた場合には以下の(1)式のような反応が生じる。
+HO→Y・(HO)→2(YOOH)→Y(OH)…(1)
ただし、上記(1)式は価数を考慮していない。
この(1)式に示すように、水化処理により、最終的にYの水酸化物が形成される。他の周期律表第3a族に属する元素の場合も、ほぼ同様な反応によってこのような水酸化物を形成する。このような水酸化物としてはY(OH)、Ce(OH)、Nd(OH)が好ましい。
The hydration treatment section 82a can be formed, for example, by causing a hydration reaction by reacting the coating 82 with steam or high-temperature water. When Y 2 O 3 is used as ceramics, a reaction such as the following equation (1) occurs.
Y 2 O 3 + H 2 O → Y 2 O 3. (H 2 O) n → 2 (YOOH) → Y (OH) 3 (1)
However, the above equation (1) does not consider the valence.
As shown in the formula (1), the hydration treatment finally forms a hydroxide of Y. In the case of other elements belonging to Group 3a of the periodic table, such a hydroxide is formed by a substantially similar reaction. As such a hydroxide, Y (OH) 3 , Ce (OH) 3 , and Nd (OH) 3 are preferable.

このことを確認するために、基材上にYの溶射被膜を形成した試料を準備し、80℃の高温水に150時間浸漬して水化処理を行った後、室温にて乾燥したものと、このような処理を行わなかったものについてX線回折測定を行った。その結果、図9の(a),(b)に示すように、水化処理を行った試料のみにY(OH)が認められ、水化処理により水酸化物が形成されることが確認された。 In order to confirm this, a sample having a thermal spray coating of Y 2 O 3 formed on a base material was prepared, immersed in high-temperature water at 80 ° C. for 150 hours, hydrated, and then dried at room temperature. An X-ray diffraction measurement was performed on the sample that had been subjected to the above-mentioned treatment and the sample that had not been subjected to such treatment. As a result, as shown in FIGS. 9A and 9B, Y (OH) 3 was recognized only in the sample subjected to the hydration treatment, and it was confirmed that hydroxide was formed by the hydration treatment. Was done.

周期律表第3a族に属する元素の水酸化物は、極めて安定であり、化学的に吸着した水が脱離しにくく、かつ水を吸着し難いという特性を有しており、水化処理によりこのような水酸化物を形成することで、プロセス中における水分による不都合を回避することができる。   Hydroxides of elements belonging to Group 3a of the periodic table are extremely stable, and have characteristics that chemically adsorbed water is hardly desorbed and water is hardly adsorbed. By forming such a hydroxide, inconvenience due to moisture during the process can be avoided.

このような水化処理による効果を確認するために、基材の上にY溶射被膜を200μm程度形成し、沸騰水にて3時間処理した試料と処理しなかった試料とを準備し、この両者にIPAを吹き付けた。なお、IPAは水よりも吸着性が高く、したがって、IPA吹き付けは加速試験となる。この試験の結果、図10に示すように水化処理していないものはIPAが吸着したが、水化処理したものは全く吸着しなかった。このことから水化処理により吸水が極めて生じ難くなることが確認された。 In order to confirm the effect of such a hydration treatment, a Y 2 O 3 sprayed coating was formed on a substrate to a thickness of about 200 μm, and a sample treated with boiling water for 3 hours and a sample not treated were prepared. And IPA was sprayed on both. It should be noted that IPA has higher adsorptivity than water, and therefore, IPA spraying is an accelerated test. As a result of this test, as shown in FIG. 10, IPA was adsorbed on the sample not subjected to hydration treatment, but was not adsorbed on the sample subjected to hydration treatment. From this, it was confirmed that water absorption was extremely unlikely to occur due to the hydration treatment.

次に、上と同様に基材の上にY溶射被膜を200μm程度形成し、沸騰水にて3時間処理した試料と処理しなかった試料とを準備し、これらの上に樹脂を塗布した後、切断して断面を確認した。その結果、図11の(a),(b)に示すように、表面状態は両者で差がないのにもかかわらず、「処理無し」の場合には被膜が全体的に透明であり全体に樹脂が浸透していたことが認められるのに対し、「処理有り」の場合には表層わずかな部分のみが透明であり、内部は白くなっており、樹脂がほとんど浸透していないことが確認された。すなわち、水化処理を行うことにより、疎水性となったことが判明した。また、図11の(c)に示すように水化処理後20μm程度除去するとその部分は透明になっており、水化処理を行った表層の20μm程度を除去することにより、疎水性が低下することが確認された。 Next, similarly to the above, a Y 2 O 3 thermal spray coating was formed on the base material to a thickness of about 200 μm, and a sample treated with boiling water for 3 hours and a sample not treated were prepared. After coating, the section was confirmed by cutting. As a result, as shown in FIGS. 11 (a) and 11 (b), although the surface state is not different between the two, the coating is entirely transparent and the whole is "no treatment" in the case of "no treatment". It is recognized that the resin had permeated, whereas in the case of "treated", only a small part of the surface layer was transparent, the inside was white, and it was confirmed that the resin had hardly penetrated. Was. That is, it was found that the hydration treatment resulted in hydrophobicity. Further, as shown in FIG. 11C, when about 20 μm is removed after the hydration treatment, the portion becomes transparent, and by removing about 20 μm of the hydrated surface layer, the hydrophobicity is reduced. It was confirmed that.

なお、HOがY表面に及ぼす影響については、Langmuir,Vol.16, No.17,2000の6937−6947頁に記載された黒田らの「Specific Adsorption Behavior of Water on a Y2O3 Surface」という論文に詳しい。 Incidentally, H 2 O is the impact on the Y 2 O 3 surface, Langmuir, Vol.16, Kuroda et al. Pp 6937-6947 of No.17,2000 "Specific Adsorption Behavior of Water on a Y 2 O 3 Surface ”.

以下、水化処理について具体的に説明する。
水化処理は、水蒸気が豊富な環境で熱処理を行うか、沸騰した水中で処理することにより行うことができる。これにより、例えばイットリア(Y)分子の周囲に数個の水分子を引きつけて結合し、安定した一つの分子集団にすることができる。このとき、水蒸気の分圧、熱処理温度、熱処理時間などがパラメーターとなる。例えば、相対湿度が90%以上の環境で100〜300℃程度の炉の中で、24時間程度、加熱処理を行うことにより安定した水酸化物を形成することができる。もし、相対湿度や熱処理温度が低い場合には、処理時間を長くすればよい。水化処理を効率的に行うためには、高温・高圧で処理することが好ましい。イットリア表面での水和反応は、基本的に室温程度でも長時間行えば十分に進行するので、上記条件以外でも、同じ最終状態を得ることができる。また、水化処理する際、純水を用いて水化処理するよりも、イオンを含む水(pH7より大きいアルカリ水)を用いて水化処理を施したほうが、疎水性がより優れたものとなる。
Hereinafter, the hydration treatment will be specifically described.
The hydration treatment can be performed by heat treatment in an environment rich in steam or by treatment in boiling water. As a result, for example, several water molecules can be attracted and bound around the yttria (Y 2 O 3 ) molecule to form one stable molecular population. At this time, the partial pressure of steam, heat treatment temperature, heat treatment time, and the like are parameters. For example, a stable hydroxide can be formed by performing heat treatment for about 24 hours in a furnace at about 100 to 300 ° C. in an environment where the relative humidity is 90% or more. If the relative humidity or the heat treatment temperature is low, the treatment time may be extended. In order to carry out the hydration treatment efficiently, it is preferable to carry out the treatment at high temperature and high pressure. The hydration reaction on the yttria surface basically proceeds sufficiently for a long time even at about room temperature, so that the same final state can be obtained under conditions other than the above. In addition, when the hydration treatment is performed, the hydration treatment using water containing ions (alkaline water having a pH of greater than 7) is more excellent in hydrophobicity than the hydration treatment using pure water. Become.

なお、水化処理に限らず、例えば原料段階で水酸化物にする等、最終的に水酸化物が形成されれば、他の方法を採用してもよい。被膜を溶射法で製造する場合には、原料が高温にさらされるため、原料段階で水酸化物にすると水酸化物が酸化物に変化することが懸念されるが、この場合でも、高湿度環境下で溶射することにより水酸化物膜を形成することができる。このように、水化処理部を形成する代わりに、他の方法によって直接水酸化物を形成してもよい。   The method is not limited to the hydration treatment, and other methods may be adopted as long as the hydroxide is finally formed, for example, a hydroxide at the raw material stage. When the coating is manufactured by the thermal spraying method, since the raw material is exposed to a high temperature, there is a concern that when the raw material is converted into a hydroxide at the raw material stage, the hydroxide is converted into an oxide. A hydroxide film can be formed by spraying underneath. Thus, instead of forming the hydration treatment section, a hydroxide may be directly formed by another method.

このような水化処理部ないしは水酸化物層は、被膜82を、水分を吸着しにくく、脱離しにくい構造とするためには、被膜82の表面部分に形成する必要がある。この場合の水化処理部ないしは水酸化物膜の厚さは100μm以上が好ましく、使用する場所に応じて最適な厚みに設定するのが良い。   Such a hydrated portion or hydroxide layer needs to be formed on the surface of the coating 82 in order to make the coating 82 hardly adsorb moisture and hardly desorb. In this case, the thickness of the hydration treatment section or the hydroxide film is preferably 100 μm or more, and is preferably set to an optimum thickness according to the place of use.

周期律表第3a族に属する元素を含むセラミックスを水化処理することにより緻密化も促進する。例えば、溶射により形成したY膜について、水化処理前に図12の(a)に示すようなポーラスな状態であったものが、水化処理することにより、図12の(b)に示すように緻密化される。このように緻密化されることにより、上記効果の他、第1の実施形態のようなバリア効果も得られる。 Densification is also promoted by hydrating ceramics containing elements belonging to Group 3a of the periodic table. For example, a Y 2 O 3 film formed by thermal spraying, which had a porous state as shown in FIG. It is densified as shown in FIG. With such a densification, a barrier effect as in the first embodiment can be obtained in addition to the above effects.

バリア効果のみを得る観点からは、水化処理により水酸化物とされた水化処理部82aは必ずしも表面にある必要はなく、被膜82の任意の位置に形成されていればよい。他の方法で水酸化物にされた水酸化物層を形成する場合には、上述したような樹脂やゾルゲル法での封孔処理をすることが好ましい。この例においては、図13に示すように、第1の実施形態と同様、基材81と被膜82との間に、第1の実施形態と全く同様の陽極酸化被膜83を形成するようにしてもよい。また、第1の実施形態と同様、この陽極酸化被膜83を封孔処理することが好ましく、この封孔処理としては上述したのと同様の金属塩封孔などを適用することができる。   From the viewpoint of obtaining only the barrier effect, the hydration-treated portion 82a converted into a hydroxide by the hydration treatment does not necessarily have to be on the surface, but may be formed at any position of the coating film 82. When forming a hydroxide layer converted into a hydroxide by another method, it is preferable to perform the sealing treatment by the resin or the sol-gel method as described above. In this example, as shown in FIG. 13, similarly to the first embodiment, an anodic oxide film 83 exactly the same as in the first embodiment is formed between a base material 81 and a film 82. Is also good. Further, similarly to the first embodiment, it is preferable that the anodic oxide film 83 is subjected to a sealing treatment. As the sealing treatment, the same metal salt sealing as described above can be applied.

次に、第2の例について説明する。
第2の例では、図14の(a)、(b)に示すように、基材81の表面に、被膜84を形成し、被膜84を、第1のセラミックス層85と第2のセラミックス層86の2層構造とし、その少なくとも一方の少なくとも一部分に水化処理部を形成するようにしている。図14の(a)の例では、表面側の第1のセラミックス層85に水化処理部85aを形成しており、図14の(b)では、基材81側の第2のセラミックス層86に水化処理部86aを形成している。
Next, a second example will be described.
In the second example, as shown in FIGS. 14A and 14B, a coating 84 is formed on the surface of a base material 81, and the coating 84 is formed by a first ceramic layer 85 and a second ceramic layer. 86, and a hydration treatment part is formed on at least a part of at least one of the two. In the example of FIG. 14A, a hydration treatment portion 85a is formed on the first ceramic layer 85 on the front surface side, and in FIG. 14B, the second ceramic layer 86 on the base material 81 side is formed. The hydration processing part 86a is formed.

被膜84を構成する第1のセラミックス層85および第2のセラミックス層は、いずれも第1の例と同様、周期律表第3a族に属する元素を含むセラミックスで構成されており、周期律表第3a族に属する元素を含む酸化物であることが好ましく、これらの中ではY、CeO、Ce、Ndが好適であり、特に、Yが好ましい。なお、基材81としては、第1の例と全く同じものを用いることができる。 Each of the first ceramic layer 85 and the second ceramic layer constituting the coating 84 is made of a ceramic containing an element belonging to Group 3a of the periodic table, as in the first example. An oxide containing an element belonging to Group 3a is preferable, and among these, Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 are preferable, and Y 2 O 3 is particularly preferable. Note that the same material as in the first example can be used as the base material 81.

これら第1および第2のセラミックス層85,86は、第1の例における被膜82と同様、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。   These first and second ceramic layers 85 and 86 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method, or a CVD method, similarly to the coating 82 in the first example. In addition, it can also be formed by a method such as a dipping method or a coating method.

水化処理部85a,86aは、第1の例における水化処理部82aと全く同様に形成することができる。図14の(a)に示すように、被膜84の表面に水化処理部がある場合には、水分を吸着しにくく、脱離しにくい構造とすることができ、図14の(b)に示すように、被膜84の内部に水化処理部がある場合には、バリア効果を有効に発揮させることができる。被膜84内部の水化処理部86aを形成するためには、基材81上に第2のセラミックス層86を製造した後、水化処理を行い、さらに第1のセラミックス層85を形成すればよい。水化処理部85a,86aの厚さは100μm以上とすることが好ましい。   The hydration units 85a and 86a can be formed in exactly the same manner as the hydration unit 82a in the first example. As shown in FIG. 14A, in the case where a hydrated portion is provided on the surface of the coating 84, a structure can be obtained in which moisture is hardly adsorbed and hardly desorbed, as shown in FIG. 14B. As described above, when the hydrated portion is present inside the coating 84, the barrier effect can be effectively exhibited. In order to form the hydrated portion 86a inside the coating 84, the second ceramic layer 86 is manufactured on the base material 81, then hydrated, and then the first ceramic layer 85 may be formed. . It is preferable that the thickness of the hydrated parts 85a and 86a be 100 μm or more.

このように、基材81上に形成する被膜84を2層構造にすることにより、要求される特性に応じて、これら2層の材料および水化処理の位置を適宜設定することができ、極めて自由度の高い適用が可能となる。   In this way, by forming the coating 84 formed on the base material 81 into a two-layer structure, the materials of these two layers and the position of the hydration treatment can be appropriately set according to the required characteristics. Application with a high degree of freedom is possible.

この例においても、図15に示すように、基材81と被膜84との間に、第1の例と全く同様の陽極酸化被膜83を形成するようにしてもよい。   Also in this example, as shown in FIG. 15, an anodic oxide film 83 exactly the same as in the first example may be formed between the base material 81 and the film 84.

次に、第3の例について説明する。
第3の例では、図16に示すように、基材81の表面に、被膜87を形成し、被膜87を、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層88と、セラミックスの溶射で形成された第2のセラミックス層89とを有し、第1セラミックス層88の表面部分に水化処理部88aが形成されている。
Next, a third example will be described.
In the third example, as shown in FIG. 16, a coating 87 is formed on the surface of a base material 81, and the coating 87 is made of a first ceramic made of a ceramic containing at least one element belonging to Group 3a of the periodic table. It has a ceramic layer 88 and a second ceramic layer 89 formed by spraying ceramics, and a hydration treatment section 88 a is formed on the surface of the first ceramic layer 88.

第1のセラミックス層88の周期律表第3a族に属する元素を含むセラミックスとしては、周期律表第3a族に属する元素を含む酸化物であることが好ましく、これらの中ではY、CeO、Ce、Ndが好適であり、特に、Yが好ましい。第1のセラミックス層88の膜厚は、100〜300μmであることが好ましい。第1のセラミックス層88は、第1の例における被膜82と同様、溶射法、PVD法やCVD法等の薄膜形成技術によって好適に形成することができる。また、その他、浸漬法、あるいは塗布法などの方法で形成することもできる。 The ceramic containing an element belonging to Group 3a of the periodic table of the first ceramic layer 88 is preferably an oxide containing an element belonging to Group 3a of the periodic table. Among these, Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 are preferred, and Y 2 O 3 is particularly preferred. The thickness of the first ceramics layer 88 is preferably 100 to 300 μm. The first ceramics layer 88 can be suitably formed by a thin film forming technique such as a thermal spraying method, a PVD method, or a CVD method, similarly to the coating 82 in the first example. In addition, it can also be formed by a method such as a dipping method or a coating method.

第2のセラミックス層89としては、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むものが好適であり、具体的には、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスが好適である。第2のセラミックス層89の膜厚は、50〜300μmであることが好ましい。なお、基材81としては、第1の例と全く同じものを使用することができる。 As the second ceramic layer 89, a layer containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd is preferable. Specifically, B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , CeO 2 at least one ceramic selected from the group consisting of Ce 2 O 3, CeF 3 and Nd 2 O 3 is preferred. The thickness of the second ceramic layer 89 is preferably 50 to 300 μm. In addition, as the base material 81, the same material as in the first example can be used.

水化処理部88aは、第1の例における水化処理部82aと全く同様に形成することができる。このように、被膜87の表面に水化処理部が形成されているので、水分を吸着しにくく、脱離しにくい構造とすることができる。なお、水化処理部88aを第1のセラミックス層88の内部に形成してバリア効果を発揮させることもできる。水化処理部88aの厚さは100μm以上であることが好ましい。   The hydration processing section 88a can be formed in exactly the same way as the hydration processing section 82a in the first example. Since the hydrated portion is formed on the surface of the coating 87 as described above, it is possible to obtain a structure in which moisture is hardly adsorbed and hardly desorbed. In addition, the hydration treatment part 88a can be formed inside the first ceramics layer 88 to exert a barrier effect. The thickness of the hydrated portion 88a is preferably 100 μm or more.

図17に示すように、第2のセラミック層89に封孔処理部89aを形成することが好ましい。封孔処理部89aは、上述した第1の実施形態において説明したものと全く同様の樹脂封孔またはゾルゲル法による封孔により形成することができる。このように、封孔処理部89aを設けることにより、溶射被膜である第2のセラミックス層89の微細孔を通って侵入するガスまたは洗浄液を有効に阻止することができ、基材81を十分に保護することができる。なお、封孔処理部89aは第2のセラミックス層89の任意の位置に形成することができる。   As shown in FIG. 17, it is preferable to form a sealing portion 89a in the second ceramic layer 89. The sealing processing section 89a can be formed by completely the same resin sealing or the sol-gel sealing as described in the first embodiment. As described above, by providing the sealing processing portion 89a, gas or cleaning liquid that enters through the fine holes of the second ceramics layer 89, which is a thermal spray coating, can be effectively prevented, and the base material 81 can be sufficiently protected. Can be protected. The sealing section 89a can be formed at an arbitrary position on the second ceramic layer 89.

図16、図17に示すような構造にすることにより、耐食性に優れるとともに、第1のセラミックス層88の水化処理部88aによって、水分を吸着しにくく、脱離しにくい構造とすることができ、しかも第2のセラミックス層89のバリア効果により、基材81を有効に保護することができる。特に、図17の構造では、封孔処理部89aの存在により、バリア効果を一層高めることができる。   With the structure as shown in FIGS. 16 and 17, it is possible to obtain a structure that is excellent in corrosion resistance and hardly adsorbs moisture and hardly desorbs by the hydration treatment portion 88 a of the first ceramics layer 88. Moreover, the base material 81 can be effectively protected by the barrier effect of the second ceramic layer 89. In particular, in the structure of FIG. 17, the barrier effect can be further enhanced by the presence of the sealing section 89a.

なお、図18に示すように、第1のセラミックス層88と第2のセラミックス層89とを逆にしてもよい。この場合には、基材81側の第1のセラミックス層88の水化処理部88aにてバリア効果が有効に発揮されて基材81の保護効果を高めることができる。   Note that, as shown in FIG. 18, the first ceramic layer 88 and the second ceramic layer 89 may be reversed. In this case, a barrier effect is effectively exerted in the hydration treatment portion 88a of the first ceramics layer 88 on the base material 81 side, and the protection effect of the base material 81 can be enhanced.

この例においても、図19に示すように、基材81と被膜87との間に、第1の例と全く同様の陽極酸化被膜83を形成するようにしてもよい。   Also in this example, as shown in FIG. 19, an anodic oxide film 83 exactly the same as in the first example may be formed between the base material 81 and the film 87.

次に、第3の実施形態について説明する。
この実施形態に係るプラズマ処理容器内部材は、図20に示すように、周期律表第3a族に属する元素を含むセラミックス焼結体90の表面に、水化処理部91が形成されている。水化処理部91は、第2の実施形態と全く同様に形成することができ、水化処理によって周期律表第3a族に属する元素を含む水酸化物が形成される。
Next, a third embodiment will be described.
As shown in FIG. 20, in the plasma processing container inner member according to this embodiment, a hydrated portion 91 is formed on the surface of a ceramic sintered body 90 containing an element belonging to Group 3a of the periodic table. The hydration treatment section 91 can be formed in exactly the same manner as in the second embodiment, and a hydroxide containing an element belonging to Group 3a of the periodic table is formed by hydration treatment.

このように水化処理部91が表面に形成されることにより、水分を吸着しにくく、脱離しにくい構造とすることができる。この場合の水化処理部91ないしは水酸化物膜の厚さは100μm以上が好ましい。   By forming the hydration treatment section 91 on the surface in this way, a structure can be provided in which moisture is hardly adsorbed and desorbed. In this case, the thickness of the hydration treatment section 91 or the hydroxide film is preferably 100 μm or more.

本実施形態においても、第2の実施形態と同様、周期律表第3a族に属する元素を含むセラミックス、周期律表第3a族に属する元素を含む酸化物であることが好ましい。これらの中ではY、CeO、Ce、Ndが好適であり、特に、Yが好ましい。 Also in the present embodiment, as in the second embodiment, it is preferable to use a ceramic containing an element belonging to Group 3a of the periodic table and an oxide containing an element belonging to Group 3a of the periodic table. Among them, Y 2 O 3 , CeO 2 , Ce 2 O 3 , and Nd 2 O 3 are preferable, and Y 2 O 3 is particularly preferable.

なお、本発明は上記実施の形態に限定されることなく、種々変形可能である。例えば、上記実施の形態では、図1に示す、永久磁石を用いたマグネトロンタイプの平行平板型のプラズマエッチング装置のプラズマ処理容器内部材であるデポシールド2a、排気プレート44、フォーカスリング43、シャワーヘッド3、載置台4、静電チャック42、さらには真空チャンバ2の内壁材に本発明を適用した場合を例に挙げて説明したが、本発明は、かかる構成の装置に限定されず、マグネトロンを用いない平行平板型のプラズマエッチング装置や、誘導結合型など他のプラズマエッチング処理装置、および、エッチング装置のみならず、アッシング処理や成膜処理などのエッチング以外の各種プラズマ処理を行う装置、さらには、半導体ウエハのみならずLCD用ガラス基板に処理を施すプラズマ処理装置に用いるプラズマ処理容器内部材全てに適用可能である。   The present invention is not limited to the above-described embodiment, but can be variously modified. For example, in the above-described embodiment, the deposition shield 2a, the exhaust plate 44, the focus ring 43, the shower head, and the inner members of the plasma processing container of the magnetron type parallel plate type plasma etching apparatus using the permanent magnet shown in FIG. 3, the case where the present invention is applied to the mounting table 4, the electrostatic chuck 42, and the inner wall material of the vacuum chamber 2 has been described as an example. However, the present invention is not limited to the apparatus having such a configuration. A parallel plate type plasma etching apparatus not used, another plasma etching apparatus such as an inductive coupling type, and an apparatus for performing not only the etching apparatus but also various plasma processings other than the etching such as the ashing processing and the film forming processing, and the like. , Plasma processing equipment that processes not only semiconductor wafers but also glass substrates for LCDs It is applicable to the members of all the processing vessel.

本発明に係るプラズマ処理容器内部材は、特に基材上に形成する被膜を耐食性が高いセラミックスで構成し、バリアとして機能する部分を設けたので、腐食性の高い雰囲気によるプラズマによる処理に好適である。また、周期律表第3a族に属する元素を含むセラミックスに水化処理を施して水に対して安定な構造とするので、水分が問題となるプラズマ処理容器内部材として好適である。   The inner member of the plasma processing container according to the present invention is particularly suitable for processing by plasma in a highly corrosive atmosphere because the coating formed on the substrate is made of a highly corrosion-resistant ceramic and a portion functioning as a barrier is provided. is there. In addition, since ceramics containing an element belonging to Group 3a of the periodic table are subjected to hydration treatment to obtain a structure that is stable against water, the ceramics are suitable as members in a plasma processing container in which moisture is a problem.

本発明の実施の形態に係るプラズマ処理容器内部材が搭載されるプラズマエッチング装置を示す縦断面図。FIG. 1 is a longitudinal sectional view showing a plasma etching apparatus on which a member inside a plasma processing container according to an embodiment of the present invention is mounted. 本発明の第1の実施形態に係るプラズマ処理容器内部材の第1の例の層構成を示す断面図。FIG. 2 is a cross-sectional view illustrating a layer configuration of a first example of a member inside the plasma processing container according to the first embodiment of the present invention. 図2の構成に陽極酸化被膜を加えた例を示す断面図。Sectional drawing which shows the example which added the anodic oxide film to the structure of FIG. 本発明の第1の実施形態に係るプラズマ処理容器内部材の第2の例の層構成を示す断面図。FIG. 4 is a cross-sectional view illustrating a layer configuration of a second example of the member inside the plasma processing container according to the first embodiment of the present invention. 図4の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 5 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 4. 本発明の第1の実施形態に係るプラズマ処理容器内部材の第3の例の層構成を示す断面図。FIG. 4 is a sectional view showing a layer configuration of a third example of the plasma processing container inner member according to the first embodiment of the present invention. 図6の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 7 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 6. 本発明の第2の実施形態に係るプラズマ処理容器内部材の第1の例の層構成を示す断面図。FIG. 6 is a sectional view showing a layer configuration of a first example of a member inside a plasma processing container according to a second embodiment of the present invention. Y2O3被膜に水化処理を行った場合と行わない場合とでX線解析パターンを比較して示す図。The figure which shows the case where the hydration process is performed to the Y2O3 film | membrane, and the case where it does not perform and shows an X-ray analysis pattern in comparison. Y2O3被膜に水化処理を行った場合と行わない場合とでIPAの吸着を比較して示す図。The figure which shows comparison of the adsorption | suction of IPA in the case where a hydration process is performed to the Y2O3 film | membrane, and the case where it is not performed. Y2O3被膜に水化処理を行った場合と行わない場合とで樹脂の浸透を比較して示す図。FIG. 4 is a diagram showing a comparison of resin penetration between a case where a hydration treatment is performed on a Y2O3 coating and a case where a hydration treatment is not performed. 水化処理前と処理後の層状態を比較して示す走査型電子顕微鏡写真。The scanning electron micrograph which shows the layer state before and after a hydration process in comparison, and shows it. 図8の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 9 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 8. 本発明の第2の実施形態に係るプラズマ処理容器内部材の第2の例の層構成を示す断面図。FIG. 9 is a sectional view showing a layer configuration of a second example of the plasma processing container inner member according to the second embodiment of the present invention. 図14の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 15 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 14. 本発明の第2の実施形態に係るプラズマ処理容器内部材の第3の例の層構成を示す断面図。FIG. 13 is a sectional view showing a layer configuration of a third example of the member inside the plasma processing container according to the second embodiment of the present invention. 本発明の第2の実施形態に係るプラズマ処理容器内部材の第3の例の層構成を示す断面図。FIG. 13 is a sectional view showing a layer configuration of a third example of the member inside the plasma processing container according to the second embodiment of the present invention. 本発明の第2の実施形態に係るプラズマ処理容器内部材の第3の例の層構成を示す断面図。FIG. 13 is a sectional view showing a layer configuration of a third example of the member inside the plasma processing container according to the second embodiment of the present invention. 図16の構成に陽極酸化被膜を加えた例を示す断面図。FIG. 17 is a sectional view showing an example in which an anodic oxide film is added to the configuration of FIG. 16. 本発明の第3の実施形態に係るプラズマ処理容器内部材を示す模式図。FIG. 9 is a schematic diagram illustrating a member inside a plasma processing container according to a third embodiment of the present invention. 従来のプラズマ処理容器内部材において、溶射被膜(トップコート層)の剥がれる状態を模式的に示す図。The figure which shows typically the state which the thermal spray coating (top coat layer) peels in the conventional member in a plasma processing container.

符号の説明Explanation of reference numerals

2;真空チャンバ
2a;デポシールド
3;ガスシャワーヘッド
4;載置台
42;静電チャック
43;フォーカスリング
44;排気プレート
71,81;基材
72,76,77,82,84,87;被膜
74;バリアコート層
75,83;陽極酸化被膜
76a,78a,79a;封孔処理部
82a,86a,88a,91;水化処理部
2, vacuum chamber 2a; deposit shield 3, gas shower head 4, mounting table 42, electrostatic chuck 43, focus ring 44, exhaust plate 71, 81, base material 72, 76, 77, 82, 84, 87; Barrier coating layers 75, 83; anodic oxide coatings 76a, 78a, 79a; sealing treatment parts 82a, 86a, 88a, 91;

Claims (35)

基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分が樹脂によって封孔処理されていることを特徴とする、プラズマ処理容器内部材。
A member in a plasma processing vessel having a base material and a coating formed on the surface by spraying ceramics,
The ceramic constituting the coating contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd, at least a portion of which is made of resin. An inner member of a plasma processing container, which is subjected to a sealing process.
基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が樹脂によって封孔処理されていることを特徴とするプラズマ処理容器内部材。
A member in a plasma processing vessel having a base material and a coating formed on the surface by spraying ceramics,
A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd; A second ceramic layer made of a ceramic containing at least one element selected from the group consisting of Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd; 2. An inner member of a plasma processing container, wherein at least a part of at least one of the ceramic layers is sealed with a resin.
前記樹脂は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものであることを特徴とする、請求項1または請求項2に記載のプラズマ処理容器内部材。   3. The member according to claim 1, wherein the resin is selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. 4. 基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜を構成するセラミックスは、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含み、その少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする、プラズマ処理容器内部材。
A member in a plasma processing vessel having a base material and a coating formed on the surface by spraying ceramics,
The ceramic constituting the coating contains at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd, at least a part of which is a sol-gel method. An inner member of a plasma processing container, wherein the inner member has been subjected to a sealing process.
基材と、その表面にセラミックスの溶射によって形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分がゾルゲル法によって封孔処理されていることを特徴とする、プラズマ処理容器内部材。
A member in a plasma processing vessel having a base material and a coating formed on the surface by spraying ceramics,
A first ceramic layer made of a ceramic containing at least one element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd; A second ceramic layer made of a ceramic containing at least one element selected from the group consisting of Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce, and Nd; 2. An inner member for a plasma processing container, wherein at least a part of at least one of the ceramic layers is subjected to a sealing treatment by a sol-gel method.
前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことを特徴とする、請求項4または請求項5に記載のプラズマ処理容器内部材。   The member according to claim 4, wherein the sealing is performed using an element selected from elements belonging to Group 3a of the periodic table. 前記セラミックスは、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種であることを特徴とする、請求項1から請求項6のいずれか1項に記載のプラズマ処理容器内部材。 The ceramics, B 4 C, MgO, Al 2 O 3, SiC, Si 3 N 4, SiO 2, CaF 2, Cr 2 O 3, Y 2 O 3, YF 3, ZrO 2, TaO 2, CeO 2, characterized in that it is a ce 2 O 3, CeF 3 and Nd 2 O 3, at least one member selected from the group consisting of a plasma processing chamber member according to any one of claims 1 to 6 . 基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、セラミックスの溶射によって形成された主層と、B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、CeおよびNdからなる群から選択された元素を含むセラミックスからなるバリアコート層とを有することを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The coating comprises a main layer formed by spraying ceramics, and a barrier made of ceramics containing an element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. A member in a plasma processing container, comprising: a coating layer.
前記バリアコート層は、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする、請求項8に記載のプラズマ処理容器内部材。 The barrier coating layer, B 4 C, MgO, Al 2 O 3, SiC, Si 3 N 4, SiO 2, CaF 2, Cr 2 O 3, Y 2 O 3, YF 3, ZrO 2, TaO 2, CeO 9. The member according to claim 8, wherein the member is made of at least one ceramic selected from the group consisting of 2 , 2 , Ce 2 O 3 , CeF 3, and Nd 2 O 3 . 前記バリアコート層は、少なくともその一部が樹脂によって封孔処理された溶射被膜であることを特徴とする、請求項8または請求項9に記載のプラズマ処理容器内部材。   10. The plasma processing container inner member according to claim 8, wherein the barrier coat layer is a thermal sprayed coating at least part of which is sealed with a resin. 前記樹脂は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択されたものであることを特徴とする、請求項10に記載のプラズマ処理容器内部材。   The member according to claim 10, wherein the resin is selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. 前記バリアコート層は、少なくともその一部がゾルゲル法によって封孔処理された溶射被膜であることを特徴とする、請求項8または請求項9に記載のプラズマ処理容器内部材。   The plasma processing container inner member according to claim 8 or 9, wherein the barrier coat layer is a thermal spray coating that is at least partially sealed by a sol-gel method. 前記封孔処理は、周期律表第3a族に属する元素から選択されたものを用いて行うことを特徴とする、請求項12に記載のプラズマ処理容器内部材。   The member according to claim 12, wherein the sealing treatment is performed using an element selected from elements belonging to Group 3a of the periodic table. 基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、セラミックスの溶射によって形成された主層と、前記基材と前記主層との間に形成されたエンジニアリングプラスチックからなるバリアコート層とを有することを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The member in the plasma processing container, wherein the coating has a main layer formed by spraying ceramics, and a barrier coat layer made of engineering plastic formed between the base material and the main layer. .
前記エンジニアリングプラスチックは、PTFE、PI、PAI、PEI、PBI、PFA、PPS、POMの群から選択されるプラスチックであることを特徴とする、請求項14に記載のプラズマ処理容器内部材。   The member according to claim 14, wherein the engineering plastic is a plastic selected from the group consisting of PTFE, PI, PAI, PEI, PBI, PFA, PPS, and POM. 前記主層は、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする、請求項11から請求項15のいずれか1項に記載のプラズマ処理容器内部材。 The main layer, B 4 C, MgO, Al 2 O 3, SiC, Si 3 N 4, SiO 2, CaF 2, Cr 2 O 3, Y 2 O 3, YF 3, ZrO 2, TaO 2, CeO 2 , characterized in that it is composed of at least one ceramics selected from the group consisting of Ce 2 O 3, CeF 3 and Nd 2 O 3, according to any one of claims 15 claim 11 Of the plasma processing vessel. 基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなり、前記被膜の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The inside of the plasma processing vessel, wherein the coating is made of a ceramic containing at least one element belonging to Group 3a of the periodic table, and at least a part of the coating is hydrated with steam or high-temperature water. Wood.
基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第2セラミックス層とを有し、前記第1および第2のセラミックス層の少なくとも一方の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The coating is a first ceramic layer made of a ceramic containing at least one element belonging to Group 3a of the periodic table, and a second ceramic layer made of a ceramic containing at least one element belonging to Group 3a of the periodic table. Wherein at least a part of at least one of the first and second ceramic layers has been hydrated with steam or high-temperature water.
前記被膜は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする、請求項17または請求項18に記載のプラズマ処理容器内部材。   The member according to claim 17 or 18, wherein the coating is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記被膜を構成するセラミックスは、Y、CeO、Ce、Ndから選択されたものであることを特徴とする請求項17から請求項19のいずれか1項に記載のプラズマ処理容器内部材。 Ceramics constituting the coating, Y 2 O 3, from CeO 2, Ce 2 O 3, Nd 2 O 3 claim 17, characterized in that one selected from any one of claims 19 The member in the plasma processing vessel described in the above. 基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含むセラミックスからなる第1セラミックス層と、セラミックスの溶射で形成された第2セラミックス層とを有し、前記第1セラミックス層の少なくとも一部分が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The coating has a first ceramics layer made of ceramics containing at least one element belonging to Group 3a of the periodic table, and a second ceramics layer formed by spraying ceramics. An inner member of a plasma processing container, wherein at least a part thereof is hydrated by steam or high-temperature water.
前記第1セラミックス層は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする請求項21に記載のプラズマ処理容器内部材。   22. The member according to claim 21, wherein the first ceramics layer is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記第1セラミックス層を構成するセラミックスは、Y、CeO、Ce、Ndから選択されたものであることを特徴とする請求項21または請求項22に記載のプラズマ処理容器内部材。 The ceramics constituting the first ceramic layer, according to Y 2 O 3, CeO 2, Ce 2 O 3, Nd 2 claim 21 or claim 22, characterized in that the O 3 are those selected Inside member of plasma processing vessel. 前記第2セラミックス層は、BC、MgO、Al、SiC、Si、SiO、CaF、Cr、Y、YF、ZrO、TaO、CeO、Ce、CeFおよびNdからなる群から選択された少なくとも1種のセラミックスで構成されていることを特徴とする請求項21から請求項23のいずれか1項に記載のプラズマ処理容器内部材。 The second ceramic layer is made of B 4 C, MgO, Al 2 O 3 , SiC, Si 3 N 4 , SiO 2 , CaF 2 , Cr 2 O 3 , Y 2 O 3 , YF 3 , ZrO 2 , TaO 2 , 24. The method according to claim 21, wherein the ceramic is made of at least one ceramic selected from the group consisting of CeO 2 , Ce 2 O 3 , CeF 3 and Nd 2 O 3. The member in the plasma processing vessel described in the above. 基材と、その表面に形成された被膜とを有するプラズマ処理容器内部材であって、
前記被膜は、周期律表第3a族に属する少なくとも1種の元素を含む水酸化物からなる水酸化物層を有することを特徴とする、プラズマ処理容器内部材。
A substrate, a member inside the plasma processing container having a coating formed on the surface thereof,
The member in a plasma processing container, wherein the coating has a hydroxide layer made of a hydroxide containing at least one element belonging to Group 3a of the periodic table.
前記水酸化物層は、溶射によって形成された溶射被膜、または薄膜形成技術で形成された薄膜であることを特徴とする、請求項25に記載のプラズマ処理容器内部材。   26. The member according to claim 25, wherein the hydroxide layer is a thermal spray coating formed by thermal spraying or a thin film formed by a thin film forming technique. 前記水酸化物層を構成する水酸化物は、Y(OH)、Ce(OH)、Nd(OH)から選択されたものであることを特徴とする、請求項25または請求項26に記載のプラズマ処理容器内部材。 The hydroxide constituting the hydroxide layer is selected from Y (OH) 3 , Ce (OH) 3 , and Nd (OH) 3 , wherein the hydroxide is selected from the group consisting of Y (OH) 3 , Ce (OH) 3 and Nd (OH) 3. The member in the plasma processing container according to item 1. 前記水酸化物層は少なくともその一部が封孔処理されていることを特徴とする請求項25から請求項27のいずれか1項に記載のプラズマ処理容器内部材。   28. The plasma processing container inner member according to claim 25, wherein at least a part of the hydroxide layer is subjected to a sealing treatment. 前記基材と前記被膜との間に、陽極酸化被膜を有することを特徴とする、請求項1から請求項28のいずれか1項に記載のプラズマ処理容器内部材。   The member according to any one of claims 1 to 28, further comprising an anodic oxide film between the substrate and the film. 前記陽極酸化被膜は、金属塩水溶液により封孔処理されていることを特徴とする、請求項29に記載のプラズマ処理容器内部材。   30. The plasma processing container inner member according to claim 29, wherein the anodic oxide coating is sealed with a metal salt aqueous solution. 前記陽極酸化被膜は、SI、PTFE、PI、PAI、PEI、PBI、およびPFAからなる群から選択された樹脂により封孔処理されていることを特徴とする、請求項29に記載のプラズマ処理容器内部材。   The plasma processing container according to claim 29, wherein the anodic oxide coating is sealed with a resin selected from the group consisting of SI, PTFE, PI, PAI, PEI, PBI, and PFA. Internal member. 周期律表第3a族に属する少なくとも1種の元素を含むセラミックス焼結体からなり、その少なくとも一部が蒸気または高温水によって水化処理されていることを特徴とする、プラズマ処理容器内部材。   A member in a plasma processing container, comprising a ceramic sintered body containing at least one element belonging to Group 3a of the periodic table, at least a part of which is hydrated with steam or high-temperature water. 前記セラミックス焼結体は、Y、CeO、Ce、Ndから選択されたセラミックスを水化処理したものであることを特徴とする請求項32に記載のプラズマ処理容器内部材。 The ceramic sintered body, a plasma treatment according to Y 2 O 3, CeO 2, Ce 2 O 3, Nd 2 claim 32 O 3 selected ceramics from, characterized in that obtained by hydration treatment Container inner member. 周期律表第3a族に属する少なくとも1種の元素を含む水酸化物を含むセラミックス焼結体からなることを特徴とするプラズマ処理容器内部材。   An inner member for a plasma processing container, comprising a ceramic sintered body containing a hydroxide containing at least one element belonging to Group 3a of the periodic table. 前記セラミックス焼結体に含まれる水酸化物は、Y(OH)、Ce(OH)、Nd(OH)から選択されたものであることを特徴とする、請求項34に記載のプラズマ処理容器内部材。 The plasma according to claim 34, wherein the hydroxide contained in the ceramic sintered body is selected from Y (OH) 3 , Ce (OH) 3 , and Nd (OH) 3. Processing container internal member.
JP2003398334A 2002-11-28 2003-11-28 Inside the plasma processing vessel Expired - Lifetime JP4503270B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2003398334A JP4503270B2 (en) 2002-11-28 2003-11-28 Inside the plasma processing vessel
CNB2004100312103A CN100418187C (en) 2003-02-07 2004-02-06 Plasma processing device, annular element and plasma processing method
KR1020040008149A KR100540051B1 (en) 2003-02-07 2004-02-07 Plasma processing apparatus, ring member and plasma processing method
TW093102927A TW200501253A (en) 2003-02-07 2004-02-09 Plasma processing apparatus, ring component and plasma processing method
US10/773,245 US20050103275A1 (en) 2003-02-07 2004-02-09 Plasma processing apparatus, ring member and plasma processing method
US12/340,256 US8043971B2 (en) 2003-02-07 2008-12-19 Plasma processing apparatus, ring member and plasma processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002345855 2002-11-28
JP2003398334A JP4503270B2 (en) 2002-11-28 2003-11-28 Inside the plasma processing vessel

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009124838A Division JP4987911B2 (en) 2002-11-28 2009-05-25 Inside the plasma processing vessel

Publications (2)

Publication Number Publication Date
JP2004190136A true JP2004190136A (en) 2004-07-08
JP4503270B2 JP4503270B2 (en) 2010-07-14

Family

ID=32774861

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003398334A Expired - Lifetime JP4503270B2 (en) 2002-11-28 2003-11-28 Inside the plasma processing vessel

Country Status (1)

Country Link
JP (1) JP4503270B2 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006131966A (en) * 2004-11-08 2006-05-25 Tokyo Electron Ltd Method for producing ceramic-sprayed member, program for carrying out the method, storage medium and ceramic-sprayed member
JP2006214671A (en) * 2005-02-04 2006-08-17 Hitachi Ltd Gas turbine combustor
JP2008235430A (en) * 2007-03-19 2008-10-02 Tokyo Electron Ltd Structure in plasma treatment equipment, and plasma treatment equipment
JP2009068066A (en) * 2007-09-13 2009-04-02 Covalent Materials Corp Plasma resistant ceramics sprayed coating
JP2009170850A (en) * 2008-01-21 2009-07-30 Ulvac Japan Ltd Plasma processing apparatus and method of manufacturing plasma processsing apparatus
JP2009176787A (en) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp Etching device and member for etching chamber
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7767268B2 (en) 2005-09-08 2010-08-03 Tocalo Co., Ltd. Spray-coated member having an excellent resistance to plasma erosion and method of producing the same
WO2011135786A1 (en) * 2010-04-26 2011-11-03 日本発條株式会社 Insulation coating method for metal base, insulation coated metal base, and semiconductor manufacturing apparatus using same
JP2011249405A (en) * 2010-05-24 2011-12-08 Hitachi High-Technologies Corp Plasma cleaning method of dry etching device
JP2012507630A (en) * 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray coating for semiconductor applications
US8231986B2 (en) 2005-08-22 2012-07-31 Tocalo Co., Ltd. Spray coating member having excellent injury resistance and so on and method for producing the same
JP2013147691A (en) * 2012-01-18 2013-08-01 Tocalo Co Ltd Fluoride film coated cermet composite film coated member and production method thereof
JP2013532770A (en) * 2010-07-14 2013-08-19 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray composite coating for semiconductor applications
WO2014097577A1 (en) * 2012-12-19 2014-06-26 キヤノンアネルバ株式会社 Member for constituting discharge space, and method for regenerating same
US8926790B2 (en) 2005-08-24 2015-01-06 Hitachi High-Technologies Corporation Plasma processing apparatus
JP2015050334A (en) * 2013-09-02 2015-03-16 パナソニックIpマネジメント株式会社 Plasma processing apparatus
WO2015116455A1 (en) * 2014-01-31 2015-08-06 Applied Materials, Inc. Chamber coatings
JP2016028379A (en) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 Plasma processing device part, plasma processing device, and method for manufacturing part for plasma processing device
JP2016522570A (en) * 2013-04-28 2016-07-28 京東方科技集團股▲ふん▼有限公司Boe Technology Group Co.,Ltd. Diffusion prevention layer, manufacturing method thereof, thin film transistor, array substrate, and display device
JP2016143803A (en) * 2015-02-03 2016-08-08 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
WO2016140241A1 (en) * 2015-03-04 2016-09-09 トーカロ株式会社 Fiber-reinforced resin structure and method for producing fiber-reinforced resin structure
JP2017031439A (en) * 2015-07-29 2017-02-09 日本碍子株式会社 Ceramic material, production method thereof, and member for semiconductor production apparatus
JP2020080412A (en) * 2014-04-25 2020-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma corrosion resistant thin film coating for high temperature applications
CN113399232A (en) * 2021-06-22 2021-09-17 河南科技大学 Composite ceramic coating adaptive to motor insulating bearing and preparation method thereof
CN114068273A (en) * 2020-07-31 2022-02-18 中微半导体设备(上海)股份有限公司 Part and preparation method thereof and plasma reaction device
CN114078679A (en) * 2020-08-14 2022-02-22 中微半导体设备(上海)股份有限公司 Semiconductor component, method for forming composite coating, and plasma reaction apparatus
WO2022054837A1 (en) * 2020-09-09 2022-03-17 三菱マテリアル株式会社 Plasma-resistant coating film, sol gel liquid for forming said film, method for forming plasma-resistant coating film, and substrate with plasma-resistant coating film
KR20220093089A (en) 2020-12-24 2022-07-05 도카로 가부시키가이샤 Electrostatic chucks and processing devices
JP7286026B1 (en) 2021-06-28 2023-06-02 株式会社日立ハイテク Recycling method of inner wall member

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116457497A (en) * 2020-11-05 2023-07-18 朗姆研究公司 Spark plasma sintered component for plasma processing chamber

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0396338A (en) * 1989-06-14 1991-04-22 Mitsubishi Heavy Ind Ltd Corrosion resistant material
JPH0570922A (en) * 1991-08-09 1993-03-23 Koichi Moriya Pore sealing treatment of laminated material with inorganic compound
JPH0611346U (en) * 1992-07-20 1994-02-10 ヘキストジャパン株式会社 Articles made of polybenzimidazole for dry etching equipment
JPH07216589A (en) * 1994-02-03 1995-08-15 Tokyo Electron Ltd Surface treating method and plasma treating device
JPH08158034A (en) * 1994-12-01 1996-06-18 Nittetsu Hard Kk Strengthening of sprayed coating
JPH0975832A (en) * 1995-09-11 1997-03-25 Nittetsu Hard Kk Boiler tube with corrosion-resistant and wear-resistant surface flame-sprayed layer
JPH09298190A (en) * 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk Manufacture of electrode for dry etching device
JPH10277707A (en) * 1997-04-03 1998-10-20 Mishima Kosan Co Ltd Mold side piece used to mold for continuous casting and manufacture thereof
JPH11157916A (en) * 1997-11-28 1999-06-15 Kyocera Corp Corrosion-resistant member
JPH11209864A (en) * 1998-01-21 1999-08-03 Meiwa Rubber Kogyo Kk Ceramic roll for corona discharge treatment and its production
JP2000164570A (en) * 1998-11-26 2000-06-16 Sumitomo Metal Ind Ltd Plasma processing apparatus
JP2001203258A (en) * 2000-01-21 2001-07-27 Tocalo Co Ltd Electrostatic chuck member and its manufacturing method
JP2001226773A (en) * 1999-12-10 2001-08-21 Tokyo Electron Ltd Treatment system and corrosion resistant member used therefor
JP2002083861A (en) * 2000-09-06 2002-03-22 Taiheiyo Cement Corp Member for vacuum processor and electrostatic chuck
JP2002088462A (en) * 2000-09-14 2002-03-27 Nippon Steel Corp Method for sealing treatment, sealing-treated sprayed deposit, and fan or blower each having the deposit
JP2002134481A (en) * 2000-10-25 2002-05-10 Taiheiyo Cement Corp Member for vacuum treating apparatus
WO2002048421A1 (en) * 2000-12-12 2002-06-20 Tokyo Electron Limited Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
JP2002228803A (en) * 2001-01-30 2002-08-14 Konica Corp Method for producing low reflection laminate and low reflection laminate
WO2002079538A1 (en) * 2001-03-30 2002-10-10 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0396338A (en) * 1989-06-14 1991-04-22 Mitsubishi Heavy Ind Ltd Corrosion resistant material
JPH0570922A (en) * 1991-08-09 1993-03-23 Koichi Moriya Pore sealing treatment of laminated material with inorganic compound
JPH0611346U (en) * 1992-07-20 1994-02-10 ヘキストジャパン株式会社 Articles made of polybenzimidazole for dry etching equipment
JPH07216589A (en) * 1994-02-03 1995-08-15 Tokyo Electron Ltd Surface treating method and plasma treating device
JPH08158034A (en) * 1994-12-01 1996-06-18 Nittetsu Hard Kk Strengthening of sprayed coating
JPH0975832A (en) * 1995-09-11 1997-03-25 Nittetsu Hard Kk Boiler tube with corrosion-resistant and wear-resistant surface flame-sprayed layer
JPH09298190A (en) * 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk Manufacture of electrode for dry etching device
JPH10277707A (en) * 1997-04-03 1998-10-20 Mishima Kosan Co Ltd Mold side piece used to mold for continuous casting and manufacture thereof
JPH11157916A (en) * 1997-11-28 1999-06-15 Kyocera Corp Corrosion-resistant member
JPH11209864A (en) * 1998-01-21 1999-08-03 Meiwa Rubber Kogyo Kk Ceramic roll for corona discharge treatment and its production
JP2000164570A (en) * 1998-11-26 2000-06-16 Sumitomo Metal Ind Ltd Plasma processing apparatus
JP2001226773A (en) * 1999-12-10 2001-08-21 Tokyo Electron Ltd Treatment system and corrosion resistant member used therefor
JP2001203258A (en) * 2000-01-21 2001-07-27 Tocalo Co Ltd Electrostatic chuck member and its manufacturing method
JP2002083861A (en) * 2000-09-06 2002-03-22 Taiheiyo Cement Corp Member for vacuum processor and electrostatic chuck
JP2002088462A (en) * 2000-09-14 2002-03-27 Nippon Steel Corp Method for sealing treatment, sealing-treated sprayed deposit, and fan or blower each having the deposit
JP2002134481A (en) * 2000-10-25 2002-05-10 Taiheiyo Cement Corp Member for vacuum treating apparatus
WO2002048421A1 (en) * 2000-12-12 2002-06-20 Tokyo Electron Limited Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
JP2002228803A (en) * 2001-01-30 2002-08-14 Konica Corp Method for producing low reflection laminate and low reflection laminate
WO2002079538A1 (en) * 2001-03-30 2002-10-10 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4666575B2 (en) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 Manufacturing method of ceramic sprayed member, program for executing the method, storage medium, and ceramic sprayed member
JP2006131966A (en) * 2004-11-08 2006-05-25 Tokyo Electron Ltd Method for producing ceramic-sprayed member, program for carrying out the method, storage medium and ceramic-sprayed member
JP2006214671A (en) * 2005-02-04 2006-08-17 Hitachi Ltd Gas turbine combustor
JP4668636B2 (en) * 2005-02-04 2011-04-13 株式会社日立製作所 Gas turbine combustor
US8231986B2 (en) 2005-08-22 2012-07-31 Tocalo Co., Ltd. Spray coating member having excellent injury resistance and so on and method for producing the same
US8926790B2 (en) 2005-08-24 2015-01-06 Hitachi High-Technologies Corporation Plasma processing apparatus
US8053058B2 (en) 2005-09-08 2011-11-08 Tocalo Co., Ltd. Spray-coated member having an excellent resistance to plasma erosion and method of producing the same
US7767268B2 (en) 2005-09-08 2010-08-03 Tocalo Co., Ltd. Spray-coated member having an excellent resistance to plasma erosion and method of producing the same
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
JP2008235430A (en) * 2007-03-19 2008-10-02 Tokyo Electron Ltd Structure in plasma treatment equipment, and plasma treatment equipment
JP2009068066A (en) * 2007-09-13 2009-04-02 Covalent Materials Corp Plasma resistant ceramics sprayed coating
JP2009170850A (en) * 2008-01-21 2009-07-30 Ulvac Japan Ltd Plasma processing apparatus and method of manufacturing plasma processsing apparatus
JP2009176787A (en) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp Etching device and member for etching chamber
JP2012507630A (en) * 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray coating for semiconductor applications
JP2011231356A (en) * 2010-04-26 2011-11-17 Nhk Spring Co Ltd Insulation coating method of metal base, insulation coated metal base, and apparatus for producing semiconductor using the same
WO2011135786A1 (en) * 2010-04-26 2011-11-03 日本発條株式会社 Insulation coating method for metal base, insulation coated metal base, and semiconductor manufacturing apparatus using same
JP2011249405A (en) * 2010-05-24 2011-12-08 Hitachi High-Technologies Corp Plasma cleaning method of dry etching device
JP2013532770A (en) * 2010-07-14 2013-08-19 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray composite coating for semiconductor applications
JP2013147691A (en) * 2012-01-18 2013-08-01 Tocalo Co Ltd Fluoride film coated cermet composite film coated member and production method thereof
WO2014097577A1 (en) * 2012-12-19 2014-06-26 キヤノンアネルバ株式会社 Member for constituting discharge space, and method for regenerating same
JP2016522570A (en) * 2013-04-28 2016-07-28 京東方科技集團股▲ふん▼有限公司Boe Technology Group Co.,Ltd. Diffusion prevention layer, manufacturing method thereof, thin film transistor, array substrate, and display device
JP2015050334A (en) * 2013-09-02 2015-03-16 パナソニックIpマネジメント株式会社 Plasma processing apparatus
WO2015116455A1 (en) * 2014-01-31 2015-08-06 Applied Materials, Inc. Chamber coatings
US9384950B2 (en) 2014-01-31 2016-07-05 Applied Materials, Inc. Chamber coatings
JP2020080412A (en) * 2014-04-25 2020-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma corrosion resistant thin film coating for high temperature applications
JP7175289B2 (en) 2014-04-25 2022-11-18 アプライド マテリアルズ インコーポレイテッド Plasma Corrosion Resistant Thin Film Coatings for High Temperature Applications
JP2016028379A (en) * 2014-07-10 2016-02-25 東京エレクトロン株式会社 Plasma processing device part, plasma processing device, and method for manufacturing part for plasma processing device
US10808309B2 (en) 2014-07-10 2020-10-20 Tokyo Electron Limited Component for use in plasma processing apparatus, plasma processing apparatus, and method for manufacturing the component
US11473182B2 (en) 2014-07-10 2022-10-18 Tokyo Electron Limited Component for use in plasma processing apparatus, plasma processing apparatus, and method for manufacturing the component
JP2016143803A (en) * 2015-02-03 2016-08-08 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
KR101874681B1 (en) * 2015-02-03 2018-07-04 도쿄엘렉트론가부시키가이샤 Plasma processing method and plasma processing device
WO2016140241A1 (en) * 2015-03-04 2016-09-09 トーカロ株式会社 Fiber-reinforced resin structure and method for producing fiber-reinforced resin structure
EP3266607A4 (en) * 2015-03-04 2018-02-14 Tocalo Co., Ltd. Fiber-reinforced resin structure and method for producing fiber-reinforced resin structure
JPWO2016140241A1 (en) * 2015-03-04 2017-10-12 トーカロ株式会社 Fiber reinforced resin structure and method for producing fiber reinforced resin structure
JP2017031439A (en) * 2015-07-29 2017-02-09 日本碍子株式会社 Ceramic material, production method thereof, and member for semiconductor production apparatus
CN114068273B (en) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 Component, preparation method thereof and plasma reaction device
CN114068273A (en) * 2020-07-31 2022-02-18 中微半导体设备(上海)股份有限公司 Part and preparation method thereof and plasma reaction device
CN114078679A (en) * 2020-08-14 2022-02-22 中微半导体设备(上海)股份有限公司 Semiconductor component, method for forming composite coating, and plasma reaction apparatus
CN114078679B (en) * 2020-08-14 2024-01-23 中微半导体设备(上海)股份有限公司 Semiconductor component, composite coating forming method and plasma reaction device
WO2022054837A1 (en) * 2020-09-09 2022-03-17 三菱マテリアル株式会社 Plasma-resistant coating film, sol gel liquid for forming said film, method for forming plasma-resistant coating film, and substrate with plasma-resistant coating film
KR20220093089A (en) 2020-12-24 2022-07-05 도카로 가부시키가이샤 Electrostatic chucks and processing devices
KR102626584B1 (en) 2020-12-24 2024-01-18 도카로 가부시키가이샤 Electrostatic chucks and handling devices
US11955360B2 (en) 2020-12-24 2024-04-09 Tocalo Co., Ltd. Electrostatic chuck and processing apparatus
CN113399232A (en) * 2021-06-22 2021-09-17 河南科技大学 Composite ceramic coating adaptive to motor insulating bearing and preparation method thereof
JP7286026B1 (en) 2021-06-28 2023-06-02 株式会社日立ハイテク Recycling method of inner wall member

Also Published As

Publication number Publication date
JP4503270B2 (en) 2010-07-14

Similar Documents

Publication Publication Date Title
JP4987911B2 (en) Inside the plasma processing vessel
JP4503270B2 (en) Inside the plasma processing vessel
KR100540051B1 (en) Plasma processing apparatus, ring member and plasma processing method
JP4486372B2 (en) Plasma processing equipment
TWI615506B (en) Plasma resistant coating layer and method of forming the same
US11299805B2 (en) Plasma corrision resistive heater for high temperature processing
TWI328411B (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US5494713A (en) Method for treating surface of aluminum material and plasma treating apparatus
US20150376780A1 (en) Plasma corrosion resistive heater for high temperature processing
TW201812055A (en) Ion assisted deposition top coat of rare-earth oxide
KR20090101304A (en) Extending lifetime of yttrium oxide as a plasma chamber material
TW200425318A (en) A barrier layer for a processing element and a method of forming the same
KR20050085980A (en) Plasma processing container internal member and production method therefor
CN104241069A (en) Component with yttrium oxide coating layer in plasma device and manufacturing method of component
JP2008081815A (en) Component for substrate treating apparatus and method for forming film
JP4728306B2 (en) Electrostatic chuck member and manufacturing method thereof
US10269544B2 (en) Gas ring for plasma system and method of manufacturing the same
WO2005054543A1 (en) Cleaning method
JP2022553646A (en) Inorganic coating of plasma chamber components
TW202231899A (en) Erosion resistant metal fluoride coated articles, methods of preparation and methods of use thereof
CN115836378A (en) Process kit with protective ceramic coating for hydrogen and ammonia plasma applications

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061025

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090910

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100203

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100421

R150 Certificate of patent or registration of utility model

Ref document number: 4503270

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160430

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term